Submitted Successfully!
To reward your contribution, here is a gift for you: A free trial for our video production service.
Thank you for your contribution! You can also upload a video entry or images related to this topic.
Version Summary Created by Modification Content Size Created at Operation
1 EUV-induced plasma being produced in the volume of a rarefied gas, has a direct impact onto optical surfaces and construction materials of lithography machines. + 1533 word(s) 1533 2020-10-04 13:23:01 |
2 20201009 format correction -4 word(s) 1529 2020-10-09 04:47:30 |

Video Upload Options

Do you have a full video?

Confirm

Are you sure to Delete?
Cite
If you have any further questions, please contact Encyclopedia Editorial Office.
Beckers, J.; Van De Ven, T.; Van Der Horst, R.; Astakhov, D.; Banine, V. EUV-induced Plasma. Encyclopedia. Available online: https://encyclopedia.pub/entry/2446 (accessed on 25 April 2024).
Beckers J, Van De Ven T, Van Der Horst R, Astakhov D, Banine V. EUV-induced Plasma. Encyclopedia. Available at: https://encyclopedia.pub/entry/2446. Accessed April 25, 2024.
Beckers, Job, Tijn Van De Ven, Ruud Van Der Horst, Dmitry Astakhov, Vadim Banine. "EUV-induced Plasma" Encyclopedia, https://encyclopedia.pub/entry/2446 (accessed April 25, 2024).
Beckers, J., Van De Ven, T., Van Der Horst, R., Astakhov, D., & Banine, V. (2020, October 08). EUV-induced Plasma. In Encyclopedia. https://encyclopedia.pub/entry/2446
Beckers, Job, et al. "EUV-induced Plasma." Encyclopedia. Web. 08 October, 2020.
EUV-induced Plasma
Edit

Science related to effects in the Extreme Ultraviolet (EUV) spectrum range experienced an explosive boom of publications in the last decades. A new application of EUV in lithography was the reason for such a growth. Naturally, an intensive development in such area produces a snowball effect of relatively uncharted phenomena. EUV-induced plasma is one of those. While being produced in the volume of a rarefied gas, it has a direct impact onto optical surfaces and construction materials of lithography machines, and thus has not only scientific peculiarity, but it is also of major interest for the technological application.

EUV-induced plasma EUV lithography

1. EUV-induced Plasma and Its Relation to Photolithography

Photolithography is one of the key steps in the production process of semiconductor structures, i.e., computer chips, on the nanoscale. In these systems, a pattern on a so-called reticle is imaged in a repetitive way on each single microchip by an optical system, which decreases the image on a spatial scale by a factor of 4 to 8. To the end of fulfilling the ever-lasting drive for shrinking the dimensions of the features on such chips, the following two well-known equations for the system's resolution or critical dimension (CD):

and the depth of focus (DOF):

are crucial [1]. In these equations, k1 and k2 are process dependent constants, λ is the wavelength of the light used, and NA=nsinα is the numerical aperture of the used lens with n and α the refractive index of the medium surrounding the lens and the acceptance angle of the lens, respectively. Decreasing the feature size on a chip requires increasing NA and/or conducting the photolithographic process at a smaller wavelength. In the past, the wavelength of the light used has been scaled down from 436 nm (g-line) to 365 nm (i-line) to 248 nm (KrF) to 193 nm (ArF). The most advanced deep Ultraviolet (DUV) machines that are currently in the field employ “immersion lithography”—introduced in 1987 [2]—where the lens is immersed in a medium with a higher refractive index (e.g., in water with n = 1.43). This has led to an increase of NA up to 1.35. The shift from the 22 nm to the 14 nm node was achieved with double patterning lithography based on 193 nm DUV systems [3].

Photolithography using Extreme Ultraviolet (EUV) photons with wavelengths of 13.5 nm (and energies of 92 eV) has been introduced with the eye on achieving significant cost reduction (per produced chip) and downscaling of the feature size even more [4]. Several types of EUV sources have been, or are, under development for the production of the high flux of needed EUV photons for various purposes. Besides the relatively low power xenon-based EUV sources [5], types of high power EUV sources include EUV sources that are based on laser produced plasmas (LPP) from tin droplets [6][7][8] and EUV sources that are based on laser-assisted discharge produced plasmas (DPP) in tin vapor [9].

Lithography tools, mostly using LPP, ideally operate under vacuum conditions since EUV photons are effectively absorbed by almost any medium. However, for technical reasons, modern lithographic tools using EUV light operate in 1–10 Pa hydrogen background gas [3].

Inherently, everywhere, such photons travel through the background gas, neutral gas particles are photo-ionized, and plasma is created. These so-called EUV-induced plasmas are highly transient in time and they initially contain highly energetic electrons (76 eV) that thermalize on time scales of 100 ns—10 µm, depending on the system's conditions. Especially, in the early stages of the afterglow of such pulsed plasma, the highly energetic electrons may create additional plasma species due to consecutive electron impact ionization of gas neutrals, while ionic compounds are accelerated towards plasma-facing surfaces—EUV-induced plasmas interact with the machine by means of, for instance, initiating plasma-enhanced chemistry, ion bombardment of delicate plasma-exposed surfaces, and enabling contamination transport to positions in the machine where harm is most dominantly felt. It is not only to fulfill scientific curiosity with respect to this peculiar type of plasma, but also for its practical implications towards EUV lithography (EUVL) that research groups all over the world have started considerable research efforts.

Figure 1. Visible light emitted by an EUV-induced plasma. At the right, a resonant cavity is used to measure plasma properties with a technique called Microwave Cavity Resonance Spectroscopy (MCRS).   

2. Bulk Materials Facing EUV-induced Plasma

Current DUV lithography tools use refractive optics (i.e., lenses) for imaging. However, imaging in EUV lithography (EUVL) tools is achieved by using multilayer Bragg reflective mirrors (MLMs) since EUV radiation would be fully absorbed by these lenses. These mirrors have forty or more bilayers of molybdenum (Mo) and silicon (Si) [3]. As extensively discussed in the previous section, the presence of a low pressure (1–10 Pa) background gas results in the creation of EUV-induced plasma that is directly adjacent to these mirrors [10][11][12]. The interaction with this plasma can have both beneficial (e.g. removal of carbon contamination) and negative effects on the (long term) mirrors' surface conditions. In this section, we first review the observations of plasma-induced surface processes.

3. Discussion, Conclusions, and Outlook

EUV-induced plasma is a highly-transient and peculiar type of plasma with significant impact on EUVL equipment and processes, as will become clear from this contribution. Although numerical and experimental research campaigns have enlightened several of this plasma's secrets, several aspects of it remain unexplored. Below, we define some of the most urgent research areas as being interesting from both a fundamental and EUVL application point of view. Note that new research projects in some of these directions have already been recently initiated by the authors.

  • Radical production

With regards to bulk plasma and from an experimental point of view, electrons and ions have already been characterized . However, in the full picture the characterization of radicals (e.g., atomic H) is lacking, despite their potential impact on cleaning and lifetime issues. More knowledge with respect to radical production could be gained through plasma-chemical (numerical) models and experiments utilizing techniques, such as UV absorption or Two-photon Absorption Laser Induced Fluorescence (TALIF).

  • Gas admixtures (e.g., N2)

Up to now, most of the studies have only been performed in hydrogen (or argon only or helium only). However, the addition of small amounts of other gases can have an important influence on the composition of the EUV-induced plasma and it might significantly alter plasma chemistry and plasma physical processes, and hence representing real scanner conditions more accurately [13]. Although the first explorative study on N2-dilution of EUV-induced plasmas in H2 has recently been published [14], there remains a strong demand from industry to understand the effect of other gases/vapors (e.g., H2O, O2, and N2) on the whole model of EUV-induced plasma dynamics.

  • Interaction with nano- to micrometer sized particles

Although EUV-induced plasma dynamics and the impact thereof are much better understood than 10 years ago, the interaction of nano- to micrometer sized particles with the complex atmosphere of an EUV lithography tool (including plasma, electric fields, energetic photons, etc.) is a blank spot that should be enlightened. The reason for the importance of plasma-particle interaction is the fact that bulk plasma normally has higher potential when compared to its surroundings [15]. This, in combination with the ability of plasma to deliver high surface charges to the particles [16], gives rise to concerns regarding particle transport from the location where the particles are released to basically every other location in the tool where the particles may harm. Whereas, in normal low pressure plasmas, the charge on micrometer-sized particles is permanently negative and it ranges in magnitude from a few 1000 times the elementary charge in the space charge regions at the plasma's border [17][18][19] up to a few 105 times the elementary charge in the plasma bulk [16], the charge on particles under EUVL tool conditions may significantly vary and may even become positive for certain fractions of the time. It is especially the transient behavior of the kind of plasmas occurring in EUVL tools and the presence of ionizing radiation that can have considerable influence on the dynamic particle charging from both a temporal [20] and a spatial [21] point of view. We expect in the coming decade enhanced research efforts in the field of Complex Ionized Media (CIM) with nano-contamination control becoming more dominantly important for EUVL and with (EUV-induced) plasma being a strong candidate with respect to achieving cleanliness targets, i.e., the field studying the interaction between nano-contaminants and ionized media.

With respect to the interaction of EUV-induced plasma with plasma-facing materials, in general, the understanding does not extend much beyond what is known from classic (discharge) plasma physics. Processes that affect the evolution of space charge regions and the formation of a sheath are theorized, but the limited available experimental work cannot support all of the claims made. Especially, the first 100 ns to 1 µs of the ion flux evolution (after the gas is irradiated with a pulsed beam of EUV photons) have not been experimentally explored. This troubles predictions regarding the lifetime of optical components, because full duration lifetime tests are not feasible. Beyond these issues that have been under investigation, scaling laws for EUV-induced plasma material interaction with respect to applied pulse energy, repetition rate, and gas pressure/type are not fully explored yet. Especially, the buildup of a steady state background plasma at high repetition rates may significantly impact the ion fluence towards walls.

References

  1. Born, M.; Wolf, E. Principles of Optics; Pegamon Press: Oxford, UK, 1980.
  2. Lin, B.J. The future of subhalf-micrometer optical lithography. Microelectron. Eng. 1987, 6, 31–51.
  3. EUV Lithography, 2nd ed.; Bakshi, V., Ed.; SPIE Press:Bellingham, WA, USA, 2018.
  4. Benschop, J.; Banine, V.; Lok, S.; Loopstra, E. Extreme ultraviolet lithography: Status and prospects. J. Vac. Sci. Technol. B 2008, 26, 2204–2207.
  5. Bergmann, K.; Schriever, G.; Rosier, O.; Müller, M.; Neff, W.; Lebert, R. Highly repetitive, extreme-ultraviolet radiation source based on a gas-discharge plasma. Appl. Opt. 1999, 38, 5413–5417.
  6. Fomenkov, I.V.; Brandt, D.C.; Farrar, N.R.; La Fontaine, B.; Böwering, N.R.; Brown, D.J.; Ershov, A.I.; Myers, D.W. Laser Produced Plasma EUV Light Source for EUVL Patterning at 20nm Node and Beyond. Extreme Ultrav. (EUV) Lithogr. IV 2013, 8679, 86792I.
  7. Brandt, D.C.; Fomenkov, I.V.; Farrar, N.R.; La Fontaine, B.; Myers, D.W.; Brown, D.J.; Ershov, A.I.; Böwering, N.R.; Riggs, D.J.; Rafac, R.J.; et al. LPP EUV source readiness for NXE 3300B. Extreme Ultrav. (EUV) Lithogr. V 2014, 9048, 90480C.
  8. Ueno, Y.; Hori, T.; Kawasuji, Y.; Shiraishi, Y.; Yanagida, T.; Miyao, K.; Hayashi, H.; Ishii, T.; Watanabe, Y.; Okamoto, T.; et al. Key Components Development Progress Updates of the 250W High Power LPP-EUV Light Source. Extreme Ultrav. (EUV) Lithogr. IX 2018, 10583, 1058328.
  9. Teramoto, Y.; Santos, B.; Mertens, G.; Kops, R.; Kops, M.; von Wezyk, A.; Bergmann, K.; Yabuta, H.; Nagano, A.; Ashizawa, N.; et al. High-radiance LDP source for mask inspection and beam line applications (Conference Presentation). Extreme Ultrav. (EUV) Lithogr. VIII 2017, 10143, 101431L.
  10. Van der Velden, M.H.L.; Brok, W.J.M.; Van der Mullen, J.J.A.M.; Banine, V. Kinetic simulation of an extreme ultraviolet radiation driven plasma near a multilayer mirror. J. Appl. Phys. 2006, 100, 73303.
  11. Van der Horst, R.M.; Beckers, J.; Nijdam, S.; Kroesen, G.M.W. Exploring the temporally resolved electron density evolution in extreme ultra-violet induced plasmas. J. Phys. D Appl. Phys. 2014, 47, 302001.
  12. Dolgov, A.; Lopaev, D.; Rachimova, T.; Kovalev, A.; Vasil'Eva, A.; Lee, C.J.; Krivtsun, V.M.; Yakushev, O.; Bijkerk, F. Comparison of H2 and He carbon cleaning mechanisms in extreme ultraviolet induced and surface wave discharge plasmas. J. Phys. D. Appl. Phys. 2014, 47, 65205.
  13. Samson, J.A.; Masuoka, T.; Pareek, P.N.; Angel, G.C. Total and dissociative photoionization cross sections of N2 from threshold to 107 eV. J. Chem. Phys. 1987, 86, 6128.
  14. Beckers, J.; de Ven, T.H.M.; de Meijere, C.A.; der Horst, R.M.; van Kampen, M.; Banine, V.Y. Energy distribution functions for ions from pulsed EUV-induced plasmas in low pressure N2-diluted H2 gas. Appl. Phys. Lett. 2019, 114, 133502.
  15. Lieberman, M.A.; Lichtenberg, A.J. Principles of Plasma Discharges and Materials Processing, 2nd ed.; John Wiley & Sons, Inc.: Hoboken, NJ, USA, 2005.
  16. Bouchoule, A. Dusty Plamsas; Physics, Chemistry and Technological Impacts in Plasma Processing; John Wiley And Sons Ltd.: Chichester, UK, 1999.
  17. Beckers, J. Dust Particle(s) (as) Diagnostics in Plasmas. PhD Thesis, Eindhoven University of Technology: Eindhoven, The Netherlands, 2011.
  18. Beckers, J.; Ockenga, T.; Wolter, M.; Stoffels, W.W.; Van Dijk, J.; Kersten, H.; Kroesen, G.M.W. Microparticles in a collisional rf plasma sheath under hypergravity conditions as probes for the electric field strength and the particle charge. Phys. Rev. Lett. 2011, 106, 115002.
  19. Beckers, J.; Trienekens, D.J.M.; Kroesen, G.M.W. Absolute measurement of the total ion-drag force on a single plasma-confined microparticle at the void edge under microgravity conditions. Phys. Rev. E-Stat. Nonlinear Soft Matter Phys. 2013, 88, 055101.
  20. Ivlev, A.V.; Kretschmer, M.; Zuzic, M.; Morfill, G.E.; Rothermel, H.; Thomas, H.M.; Fortov, V.E.; Molotkov, V.I.; Nefedov, A.P.; Lipaev, A.M.; et al. Decharging of complex plasmas: First kinetic observations. Phys. Rev. Lett. 2003, 90, 055003/1–055003/4.
  21. Van Minderhout, B.; Peijnenburg, T.; Blom, P.; Vogels, J.M.; Kroesen, G.M.; Beckers, J. The charge of micro-particles in a low pressure spatial plasma afterglow. J. Phys. D. Appl. Phys. 2019, 52, 32.
More
Information
Contributors MDPI registered users' name will be linked to their SciProfiles pages. To register with us, please refer to https://encyclopedia.pub/register : , , , ,
View Times: 1.1K
Revisions: 2 times (View History)
Update Date: 09 Oct 2020
1000/1000