Submitted Successfully!
To reward your contribution, here is a gift for you: A free trial for our video production service.
Thank you for your contribution! You can also upload a video entry or images related to this topic.
Version Summary Created by Modification Content Size Created at Operation
1 + 3273 word(s) 3273 2021-06-30 08:07:47 |
2 update layout and reference -11 word(s) 3262 2021-07-01 05:00:55 |

Video Upload Options

Do you have a full video?

Confirm

Are you sure to Delete?
Cite
If you have any further questions, please contact Encyclopedia Editorial Office.
Liu, A.; Hsu, L. GaN Electronic Devices. Encyclopedia. Available online: https://encyclopedia.pub/entry/11533 (accessed on 20 April 2024).
Liu A, Hsu L. GaN Electronic Devices. Encyclopedia. Available at: https://encyclopedia.pub/entry/11533. Accessed April 20, 2024.
Liu, An-Chen, Lung-Hsing Hsu. "GaN Electronic Devices" Encyclopedia, https://encyclopedia.pub/entry/11533 (accessed April 20, 2024).
Liu, A., & Hsu, L. (2021, June 30). GaN Electronic Devices. In Encyclopedia. https://encyclopedia.pub/entry/11533
Liu, An-Chen and Lung-Hsing Hsu. "GaN Electronic Devices." Encyclopedia. Web. 30 June, 2021.
GaN Electronic Devices
Edit

GaN has been widely used to develop devices for high-power and high-frequency applications owing to its higher breakdown voltage and high electron saturation velocity. The GaN HEMT radio frequency (RF) power amplifier is the first commercialized product that is fabricated using the conventional Au-based III–V device manufacturing process. In recent years, owing to the increased applications in power electronics, and expanded applications in RF and millimeter-wave (mmW) power amplifiers for 5G mobile communications, the development of high-volume production techniques derived from CMOS technology for GaN electronic devices has become highly demanded. 

gallium nitride high-electron mobility transistor CMOS-compatible Au-free process

1. Introduction

Gallium nitride (GaN) is a high-potential semiconductor material. It has been used to fabricate high-electron mobility transistors (HEMTs) for applications in power devices and radio frequency (RF) power amplifiers because of its superior material characteristics compared with silicon (Si)-based materials, including a wide bandgap, high breakdown electric field, and high electron saturation velocity, as shown in Figure 1 [1][2].
Figure 1. Differences in material properties between GaN and Si [2]. (Data from [2]).
In 1979, Takashi Mimura invented the gallium arsenide high-electron mobility transistor (GaAs HEMT) [3]. An HEMT uses a heterojunction to enhance electron mobility, thereby increasing the speed of electron transport. A heterojunction with a wider-bandgap Schottky barrier and a lower-bandgap channel can be used to form a modulation-doping structure to spatially separate conducting electrons from their doped impurity atoms. Therefore, a transistor with a high-electron mobility channel can be created (i.e., HEMT). The GaAs HEMT has been widely used in mobile phones, satellite TV receivers, and radar equipment [4][5][6]. The aluminum gallium nitride (AlGaN)/gallium nitride (GaN) heterojunction was first reported in 1991 [7], and the first AlGaN/GaN HEMT was subsequently developed in 1993 [8][9], where superior channel electron mobility was demonstrated. In 2006, the GaN HEMT RF transistor was first produced by Eudyna of Japan [10]. Since then, other companies have also announced various GaN HEMT products for RF applications. The GaN HEMT can be operated at 50 V with an output power over 200 W for mobile communication applications using silicon carbide (SiC) as the substrate [11][12][13]. In 2001, a research team from the University of California at Santa Barbara reported a GaN HEMT for power switch application fabricated on SiC, which possessed a breakdown voltage higher than 1000 V [14]. Since then, GaN HEMTs have been studied intensively on Si substrates for their low cost, high volume, and high-performance power switch applications. After years of development, the state-of-the-art GaN HEMT power devices on Si can be operated at a breakdown voltage higher than 1200 V [15][16].
Unlike the GaAs HEMT counterpart which needs to have a doping layer in the wide-bandgap AlGaAs barrier, GaN HEMTs do not need a doping layer in the wide-bandgap AlGaN barrier layer. Due to the polarization effects of the hexagonal wurtzite structure of AlGaN and GaN materials, the heterostructure of AlGaN/GaN has a high-density two-dimensional electron gas (2D electron gas; 2DEG) formed at the interface between AlGaN and GaN [17]. These polarization effects include two mechanisms, one is spontaneous polarization (PSP) and the other is piezoelectric polarization (PPE). Spontaneous polarization (PSP) is induced because gallium atoms in the non-centrosymmetric wurtzite structure do not locate at the center of the mass with respect to nitrogen atoms. On the other hand, the piezoelectric polarization effect (PPE) is induced because of the stack of two lattice-mismatched wurtzite III-nitride materials. Polarization charges are formed due to the mismatch strain at the heterogeneous junction, as shown in Figure 2 [18].
Figure 2. Gallium nitride wurtzite structure [18]. (Data from [18]).
The most commonly used GaN HEMT is an AlGaN/GaN heterostructure. The typical thickness of AlGaN is around 20–25 nm, and the thickness of the GaN channel and buffer layer is around 1–5 µm depending on the requirement of the breakdown voltage [15]. According to the energy band structure of the GaN HEMT, there is a potential energy well at the junction of AlGaN and GaN, and there will be a transportable energy state formed by the accumulation of electrons in this energy well, as shown in Figure 3 [17][19]. Figure 3a shows the charge accumulated in the potential well as VG = 0, and Figure 3b indicates that the accumulated charges are depleted with VG < VT < 0.
Figure 3. (a) The charge accumulation at the potential well as VG = 0, and (b) the accumulated charges depleted as VG < VT < 0 [19]. Figure reproduced with permission from Chin. Phys. B.
In addition to the AlGaN/GaN HEMT, the InAlN/GaN heterostructure also attracts a lot of attention [20][21]. For AlGaN grown on GaN, the lattice mismatch restricts the AlGaN content and thickness. Figure 4 demonstrates the bandgaps versus lattice parameters of AlN-GaN-InN compounds [22]. InAlN, with around 18% In, is lattice-matched with GaN, and its wide bandgap makes it an ideal barrier. In the meantime, the heterostructure of InAlN/GaN possesses high accumulation charges at the interface owing to the larger difference in spontaneous polarization between the two layers. In Section 2.1, we will further discuss the current development of the GaN epitaxial structure.
Figure 4. Lattice parameters and band gap for GaN-AlN-InN alloys [22]. (Data from [22]).

1.1. Radio Frequency HEMT Device Applications

In the past two decades, mobile communication technology has developed rapidly from 2G in 1990 to the introduction of 3G in 2000, and then the deployment of 4G service in 2011 until today [23][24][25][26][27][28][29]. Under the trend of continuous innovation and service demand, 5G was launched recently in 2019–2020. Global research institutions have also begun to invest in research and development for 5G +/ 6G technologies. Currently, 5G is still operated below 6 GHz which is relatively similar to 4G. High-band 5G operating at mmW (28–40 GHz) is still in development and is expected to be deployed in the near future [23][27][30]. The GaN HEMT that can be applied in high-frequency power amplifiers has been regarded as an important device technology by various manufacturers. Figure 5 shows the breakdown voltage versus current gain cut-off frequency (fT) of different types of devices [31][32]. The GaN HEMT shows the best capabilities, combining a high voltage, high power, and high speed. Moreover, the GaN-on-Si technology that can greatly reduce production costs and is more suitable for high-volume production has attracted a lot of attention [33]. In the past, the GaN HEMT was mainly fabricated on SiC substrates for high-frequency applications owing to the better quality of epitaxy and the better heat dissipation. With improved techniques in epitaxial growth and layout optimization for GaN-on-Si devices, several manufactures have announced the launch of the mass production of GaN-on-Si technology for high-frequency applications [34].
Figure 5. Comparison of breakdown voltage and cut-off frequency among various high-speed device technologies [32]. Figure reproduced with permission from IEEE Trans. Electron Devices.
In view of the applications in 4G to 5G base stations, outdoor WiFi, millimeter-wave (mmW) small cells, and other high-data rate wireless communication applications, increasing the transmit power and efficiency is an important issue. At present, RF and mmW power amplifiers in the market mainly use SiGe- or GaAs-based transistors. However, due to the constraint in the breakdown voltage, individual power amplifiers made of these materials cannot provide an efficient output power in the mmW band [31]. Technologies such as phased array antennas are needed to achieve the overall required transmission power [35]. However, too many phase array antennas will cause problems such as narrow beams and manufacturing complexity. Therefore, further improvement in the output power and efficiency of the devices for power amplifiers has become an important issue.
In recent years, a GaN HEMT with a maximum oscillating frequency (fmax)/current gain cut-off frequency (ft) of up to 300 GHz has been demonstrated [36]. An MMIC fabricated using GaN technology with an operating frequency up to the G band was also presented. The output power and gain can reach around 16 dBm and 12 dB at 181 GHz with 5.5% of power-added efficiency (PAE) [37]. For 5G operation, an output power density of 10 W/mm at sub-6 GHz and an output power density of 6 W/mm at 40 GHz were achieved [38]. Moreover, Intel has demonstrated a GaN MOSHEMT with ft/fmax reaching 200/350 GHz and a high mmW (28 GHz) output power of 19.5 dBm fabricated on Si. Three-dimensional integration with CMOS has also been reported [33][39].

1.2. Power HEMT Device Applications

For power device applications, GaN HEMTs have shown low on-resistance to greatly reduce the conduction loss of the switch with a high breakdown voltage. Moreover, GaN power devices have lower parasitic capacitance, which can provide faster switching than silicon power transistors, meaning they have a much lower energy loss. The relation between the switching speed and energy loss of power switches is depicted in Figure 6a. The switching speed of GaN is faster; hence, the switching loss of the GaN HEMT is much less than that of Si, as indicated by Figure 6b [40]. Therefore, the GaN HEMT has great potential in high-speed, high-power switch applications.
Figure 6. (a) Relation between switching speed and energy loss for a power switch, and (b) the lower power loss of GaN compared with Si [40]. Figure reproduced with permission from IEEE 2016 10th International Conference on Compatibility, Power Electronics and Power Engineering.
Overall speaking, the GaN-on-Si HEMT is still inferior to SiC devices in terms of high-voltage and high-power performances owing to the higher defects in epitaxy and the worse thermal dissipation capability of Si substrates. However, GaN is expected to replace Si-based diodes, MOSFETs, and other power components in the low- and medium-power fields in the future. It is predicted that SiC has an advantage over GaN above 900 V; however, GaN is very competitive for operating voltages below 1000 V due to the benefits of a low switching loss and lower cost [41].
Currently, the fastest-growing GaN power device application is GaN fast chargers, and various products have been brought to the market. At present, fast chargers with power ranging from 65~125 W have gradually become mainstream products because the fast charger made by a GaN power IC is small in size, easy to carry, capable of high-power operation, has a higher energy efficiency, and is cost-effective.

1.3. Process Development (From Au-Based to Au-Free μS-Coμpatible)

Traditionally, the manufacturing of GaN HEMT devices is based on Au-based metallization schemes, including ohmic contact metals and Schottky metal gates. In the past decade, due to the rapid development of GaN epitaxy on silicon substrates, the development of complementary metal–oxide–semiconductor (CMOS)-compatible fabrication processes for GaN-on-Si devices has increased sharply and gradually matured. There are several major changes in fabrication techniques as compared to the CMOS-compatible processes with conventional III–V processes.
For instance, CMOS-compatible processes usually need to have a planarized structure. Therefore, ion implantation of nitrogen or other inert elements to amorphized non-active regions to achieve device isolation instead of etching the active layers (i.e., “mesa etching”) can be a preferred method. On the other hand, most traditional III–V devices use Au-based metallization schemes that are incompatible with the CMOS fabrication process. To be compatible with the CMOS fabrication process, the commonly used Ti/Al/Ni/Au ohmic contact metal stack can be changed to Ti/Al/Ni/TiN [42]. Moreover, a TiN diffusion barrier/Schottky metal and a Cu or Al conductor layer can be used for the metal gate instead of a Ni Schottky metal and Au conductor layer [43].

2. Conventional GaN HEMT Technology

2.1. Epitaxy

In recent years, the epitaxial quality of GaN and its doping technology have become matured and resulted in the fast development of high-power and high-frequency electronic devices. Due to the lack of high-quality and large-size GaN substrates on the market, GaN heterostructures are mainly grown on silicon (Si), sapphire (Sapphire), or silicon carbide (SiC) substrates.
During the epitaxy process, the mismatch in the lattice and thermal expansion coefficient between GaN and the substrates is the key factor to be concerned about. Listed in Table 1 are the physical parameters of the commonly used substrates [44]. The lattice constant and thermal expansion coefficient mismatch between the SiC substrate and the GaN is the smallest; therefore, the quality of the GaN epitaxy grown on SiC is the best. Moreover, the SiC substrate has very good thermal conductivity, meaning it can effectively remove the heat generated by GaN components during high-frequency and high-power operations to enhance reliability. High-quality GaN-based materials coupled with a substrate with good thermal conductivity could improve the overall characteristics of the device. However, the SiC substrate is an expensive substrate that is difficult to produce; therefore, the cost-effective GaN-on-Si technology becomes an attractive choice for many manufacturers. However, due to the larger mismatch in the lattice constant and thermal expansion between Si substrates and GaN, it is more difficult to grow high-quality GaN on Si substrates. Usually, it is necessary to use a thicker or complex buffer layer structure [45][46][47].
Table 1. The lattice and thermal mismatch of Si, SiC, Sapphire, AlN, and GaN [44].
Mismatch Si SiC Sapphire AlN GaN
Crystal Structure FCC HCP HCP HCP HCP
Lattice Constant (Å) 5.43 3.08 4.758 3.112 3.189
Lattice Mismatch (%) −16.9 3.5 16.08 2.4 -
Thermal Expansion (10−6 K) 3.59 4.3 7.3 4.15 5.59
Thermal Mismatch (%) 55 30 −23 34 -
The design and growth of buffer layers are very critical. The characteristics of the GaN HEMT, especially the breakdown voltage, are affected by the quality and resistivity of the underlying buffer layer. The GaN buffer layer under the channel needs higher resistivity to prevent the DC leakage current and AC coupling. Since undoped GaN is typically n-type, adding a p-type dopant is required to obtain a highly resistive buffer. A commonly used p-type dopant is Mg; however, the memory effect of Mg is very strong [48], and it will affect the properties of the subsequent AlGaN/GaN epitaxy. Thus far, Fe and carbon are used as p-type dopants for the buffer layer. However, Fe dopants still have the issue of a memory effect on the MOCVD growth, and it is not easy to obtain an abrupt interface [49][50]. Carbon is a more attractive p-type dopant for the buffer layer. It does not have a strong memory effect, and its concentration and the breakdown voltage of the buffer layer can be adjusted by changing the epitaxial conditions, as shown in Figure 7 [51][52]. On the other hand, a buffer layer has to be designed to release stress and make the surface flat. Typical structures include a graded AlGaN buffer [53], a GaN/AlGaN superlattice buffer [54], low-T GaN, or low-T GaN with an AlN insertion [55]. For the growth of GaN on Si substrates, AlN nucleation and a buffer layer have to be grown to avoid interaction between Ga and Si at high growth temperatures.
Figure 7. The relationship between breakdown voltage and Carbon doping concentrations in the GaN buffer layer [51]. Figure reproduced with permission from J. Cryst. Growth.
The typical top barrier layer for GaN HEMTs is AlGaN or InAlN, as described in Section 1. While the AlGaN barrier layer is very mature, the thickness and Al content have restrictions due to the lattice mismatch with GaN. As the thickness becomes too thin, the charge in the channel would decline due to insufficient piezoelectric polarization. On the contrary, defects would appear as the thickness exceeds the critical thickness. The lattice-matched InAlN (18% In) barrier has stronger spontaneous polarization to induce a much higher channel charge than AlGaN [20]. Nevertheless, phase separation of InN and AlN could occur during MOCVD growth and result in a high gate leakage current, as the TEM image shows in Figure 8 [56][57][58]. Careful optimization of the growth condition has to be carried out to avoid phase separation.
Figure 8. Cross-sectional TEM images of InAlN layer showing phase separation [56]. Figure reproduced with permission from Appl. Phys. Lett.

2.2. Ohmic Contact

An ohmic contact is a type of metal/semiconductor contact formed at the source/drain region. The interface has to be heavily doped to form a very thin energy barrier to allow for the tunneling of carriers through the interface to obtain low contact resistance. In the traditional III–V-based process, the most commonly used ohmic contact metals for GaN are diffusion-type multi-layer ohmic contact metals based on titanium (Ti)/aluminum (Al). Then, nickel (Ni)/gold (Au) is stacked on Ti/Al to form a thick conductive metal layer [59]. For a CMOS-compatible process, Ni/Au is not used, but TiN, Al, or Cu is used for the thick conductive metal layer. Generally, there is a diffusion barrier layer (i.e., Ni) between the thick conductive metal layer and the Ti/Al ohmic contact metal, meaning the top conductive layer does not affect the characteristics of the GaN ohmic contact interface.
The role of Ti/Al diffusion-type ohmic contact metals in the process of reducing the interface energy barrier is explained by several studies in the literature [59][60][61][62]. When rapid thermal annealing (RTA) is used, and the maximum temperature is raised to above 800 °C, Ti/Al can diffuse into the GaN layer and form a uniform TiAlN alloy. The process can cause nitrogen vacancies to be generated in the crystal lattice. Nitrogen vacancies in GaN act as the n-type dopants to enhance the n-type characteristics of GaN to further reduce the resistance. Figure 9a shows the TEM images formed by annealing a Ti/Au/Al/Ni/Au ohmic contact metal at 850 °C [61]. Figure 9b exhibits the effect of N vacancy formation on the interfacial band structure of GaN [63].
Figure 9. (a) TEM images of Ti/Au/Al/Ni/Au structure after alloying [61], and (b) band diagram before/after alloying [63]. Figure reproduced with permission from IEEE Electron Device Lett. & AIP Publishing.
As shown in Figure 10, the contact resistivity of GaN can reach 5 × 10−6 Ω cm2 after annealing a Ti/Al-based (Ti/Al = 0.43) ohmic contact metal at 800 °C [64]. The effect of the ratio of Ti and Al thicknesses is also discussed in the literature [65]. By summarizing the studies from many research works, it can be shown that Ti/Al ohmic contact metals are, thus far, the most stable ohmic contacts for n-type GaN, and the major factors that affect the contact resistances are the Ti/Al ratio and the annealing conditions. As long as the top conductive Au metal is well separated from the Ti/Al layer by a diffusion barrier, the contact resistivity is not affected by the Au conductive layer [64][65].
Figure 10. Ti/Al-based ohmic contacts on AlGaN/GaN HEMT as a function of annealing temperature [64]. (Data from [64]).

2.3. Schottky Metal Gate

The choice of gate metal also has significant impacts on the performance and reliability of GaN HEMTs. In the structure of a typical GaN HEMT, there is a wide-bandgap AlGaN barrier layer between the gate metal and the GaN channel. The gate metal forms a Schottky contact on the AlGaN barrier layer which can control the polarization charge density at the AlGaN/GaN interface. The charge density of the heterostructure and the drain current is controlled by modulating the Schottky contact with the applied gate voltage. An excessive gate leakage current is not allowed for HEMT devices because it could result in undesired power consumption at the gate or incomplete channel closure. As most GaN HEMTs are “normally on” devices owing to the intrinsic characteristic of the AlGaN/GaN heterostructure, the drain current of GaN HEMTs has to be turned off by setting the Schottky gate diode at a reversed bias. As shown in Figure 11, Pd, Ni, and Pt are reported to be suitable gate metals since the leakage currents of these metals are the smallest when the GaN Schottky gate diodes are negatively biased [66].
Figure 11. IV characteristic diagram of different metals under reverse bias [66]. (Data from [66]).
On the other hand, the channel temperature can be high during the on-state operation of a power device. Therefore, high thermal stability is required for the selected gate metal. Ni has shown excellent stability [67][68]; thus, Ni/Au is currently one of the most commonly used gate metals. WN is another gate metal showing good stability and low leakage. Researchers found that a WN gate can be formed by annealing a W gate in a N2 atmosphere [69]. In addition, metal–insulator–semiconductor (MIS) gates are adopted to more effectively reduce gate leakage. 

References

  1. Zhang, Y.; Singh, J. Charge control and mobility studies for an AlGaN/GaN high electron mobility transistor. J. Appl. Phys. 1999, 85, 587–594, doi:10.1063/1.369493.
  2. Millan, J.; Godignon, P.; Perpina, X.; Perez-Tomas, A.; Rebollo, J. A survey of wide bandgap power semiconductor devices. IEEE Trans. Power Electron. 2014, 29, 2155–2163, doi:10.1109/tpel.2013.2268900.
  3. Mimura, T.; Hiyamizu, S.; Fujii, T.; Nanbu, K. A new field effect transistor with selectively doped GaAs/n-AlxGa1-xAs hetero-junctions. Jpn. J. Appl. Phys. 1980, 19, L225–L227.
  4. Mimura, T. Mobile phones, satellite TV receivers and radar equipment. Fujitsu Sci. Tech. J. 2018, 54, 3–8.
  5. Touhidul Islam, A.Z.M.; Hatta, K.; Murakoshi, N.; Fukuda, T.; Takada, T.; Itatani, T.; Kamata, N. Detection of NRR Centers in InGaAs/AlGaAs HEMTs: Two-Wavelength Excited Photoluminescence Studies. Glob. Sci. Technol. J. 2013, 1, 1–11.
  6. Del Alamo, J.A. The high electron mobility transistor at 30: Impressive accomplishments and exciting prospects. In Proceed-ings of the 2011 International Conference on Compound Semiconductor Manufacturing Technology, Indian Wells, CA, USA, May 2011; pp. 16–19.
  7. Khan, M.A.; Van Hove, J.M.; Kuznia, J.N.; Olson, D.T. High electron mobility GaN/AlxGa1−xN heterostructures grown by low‐pressure metalorganic chemical vapor deposition. Appl. Phys. Lett. 1991, 58, 2408–2410, doi:10.1063/1.104886.
  8. Asif Khan, M.; Kuznia, J.N.; Bhattarai, A.R.; Olson, D.T. Metal semiconductor field effect transistor based on single crystal GaN. Appl. Phys. Lett. 1993, 62, 1786–1787, doi:10.1063/1.109549.
  9. Asif Khan, M.; Bhattarai, A.; Kuznia, J.N.; Olson, D.T. High electron mobility transistor based on a GaN‐AlxGa1−xN hetero-junction. Appl. Phys. Lett. 1993, 63, 1214–1215, doi:10.1063/1.109775.
  10. Mitani, E.; Haematsu, H.; Yokogawa, S.; Nikaido, J.; Tateno, Y. Mass-Production of High-Voltage GaAs and GaN Devices. In Proceedings of the CS Mantech Conference, Vancouver, BC, Canada, April 2006; pp. 24–27.
  11. Kikkawa, T. Highly Reliable 250 W GaN high electron mobility transistor power amplifier. Jpn. J. Appl. Phys. 2005, 44, 4896–4901, doi:10.1143/jjap.44.4896.
  12. Wakejima, A.; Matsunaga, K.; Okamoto, Y.; Ota, K.; Ando, Y.; Nakayama, T.; Miyamoto, H. 370-W Output Power GaN-FET Amplifier with Low Distortion for W-CDMA Base Stations. In Proceedings of the 2006 IEEE MTT-S International Microwave Symposium Digest, San Franmcisco, CA, USA, 11–16 June 2006; pp. 1360–1363.
  13. Kikkawa, T.; Maniwa, T.; Hayashi, H.; Kanamura, M.; Yokokawa, S.; Nishi, M.; Adachi, N.; Yokoyama, M.; Tateno, Y.; Josh-in, K. An over 200-W output power GaN HEMT push-pull amplifier with high reliability. In Proceedings of the 2004 IEEE MTT-S International Microwave Symposium Digest (IEEE Cat. No.04CH37535), Fort Worth, TX, USA, 6–11 June 2004; pp. 1347–1350.
  14. Zhang, N.Q.; Moran, B.; DenBaars, S.P.; Mishra, U.K.; Wang, X.W.; Ma, T.P. Kilovolt AlGaN/GaN HEMTs as switching de-vices. Phys. Status Solidi A Appl. Res. 2001, 188, 213–217, doi:10.1002/1521-396X(200111)188:1<213::AID-PSSA213>3.0.CO;2-8.
  15. Visalli, D.; Van Hove, M.; Derluyn, J.; Degroote, S.; Leys, M.; Cheng, K.; Germain, M.; Borghs, G. AlGaN/GaN/AlGaN double heterostructures on silicon substrates for high breakdown voltage field-effect transistors with low on-resistance. Jpn. J. Appl. Phys. 2009, 48, 04C101, doi:10.1143/jjap.48.04c101.
  16. Amano, H.; Baines, Y.; Beam, E.; Borga, M.; Bouchet, T.; Chalker, P.R.; Charles, M.; Chen, K.J.; Chowdhury, N.; Chu, R.; et al. The 2018 GaN power electronics roadmap. J. Phys. D: Appl. Phys. 2018, 51, 163001, doi:10.1088/1361-6463/aaaf9d.
  17. Mishra, U.K.; Parikh, P.; Wu, Y.-F. AlGaN/GaN HEMTs-an overview of device operation and applications. Proc. IEEE 2002, 90, 1022–1031.
  18. Hellman, E.S. The Polarity of GaN: A Critical Review. MRS Internet J. Nitride Semicond. Res. 1998, 3, e11 doi:10.1557/S1092578300000831.
  19. He, X.-G.; Zhao, D.-G.; Jiang, D.-S. Formation of two-dimensional electron gas at AlGaN/GaN heterostructure and the deri-vation of its sheet density expression. Chin. Phys. B 2015, 24, 067301, doi:10.1088/1674-1056/24/6/067301.
  20. Kuzmik, J. Power electronics on InAlN/(In)GaN: Prospect for a record performance. IEEE Electron Device Lett. 2001, 22, 510–512, doi:10.1109/55.962646.
  21. Xie, J.; Ni, X.; Wu, M.; Leach, J.H.; Özgür, Ü.; Morkoç, H. High electron mobility in nearly lattice-matched AlInN∕AlN∕GaN heterostructure field effect transistors. Appl. Phys. Lett. 2007, 91, 132116, doi:10.1063/1.2794419.
  22. Arteev, D.S.; Sakharov, A.V.; Zavarin, E.E.; Lundin, W.V.; Smirnov, A.N.; Davydov, V.Y.; Yagovkina, M.A.; Usov, S.O.; Tsatsulnikov, A.F. Investigation of Statistical Broadening in InGaN Alloys. J. Phys. Conf. Ser. 2018, 1135, 012050, doi:10.1088/1742-6596/1135/1/012050.
  23. Iucolano, F.; Boles, T. GaN-on-Si HEMTs for wireless base stations. Mater. Sci. Semicond. Process. 2019, 98, 100–105, doi:10.1016/j.mssp.2019.03.032.
  24. Then, H.W.; Chow, L.A.; Dasgupta, S.; Gardner, S.; Radosavljevic, M.; Rao, V.R.; Sung, S.H.; Yang, G.; Chau, R.S. High-performance low-leakage enhancement-mode high-K dielectric GaN MOSHEMTs for energy-efficient, compact voltage regu-lators and RF power amplifiers for low-power mobile SoCs. In Proceedings of the 2015 Symposium on VLSI Technology (VLSI Technology), Kyoto, Japan, 16–18 June 2015; pp. T202–T203.
  25. Han, K.; Yang, Y.; You, C.J.; Zhu, X.; Du, X. Reconfigurable continuous Class-F power amplifier using tunable output match-ing network. In Proceedings of the 17th IEEE International Conference on Communication Technology(ICCT 2017), Cheng-du, China, 27–30 October 2017; pp. 1201–1204.
  26. Saad, P.; Piazzon, L.; Colantonio, P.; Moon, J.; Giannini, F.; Andersson, K.; Kim, B.; Fager, C. Multi-band/multi-mode and efficient transmitter based on a Doherty Power Amplifier. In Proceedings of the 2012 42nd European Microwave Conference, Amsterdam,The Netherlands, 29 October–1 November 2012; pp. 1031–1034, doi:10.23919/EuMC.2012.6459424.
  27. Kardaras, G.; Lanzani, C. Advanced multimode radio for wireless & mobile broadband communication. In Proceedings of the 2009 European Wireless Technology Conference, Rome, Italy, 28–29 September 2009; pp. 132–135.
  28. Cho, Y.; Kang, D.; Kim, J.; Moon, K.; Park, B.; Kim, B. Linear doherty power amplifier with an enhanced back-off efficiency mode for handset applications. IEEE Trans. Microw. Theory Tech. 2014, 62, 567–578, doi:10.1109/tmtt.2014.2300445.
  29. Madero-Ayora, M.J.; Allegue-Martínez, M.; García, J.Á.; Reina-Tosina, J.; Crespo-Cadenas, C. Linearization and EVM en-hancement of an efficient class J amplifier for 3G and 4G mobile communication signals. In Proceedings of the 2012 Work-shop on Integrated Nonlinear Microwave and Millimetre-Wave Circuits: INMMIC, Dublin, Ireland, 3–4 September 2012; pp. 1–3, doi:10.1109/INMMIC.2012.6331950.
  30. Westberg, E.; Staudinger, J.; Annes, J.; Shilimkar, V. 5G Infrastructure RF Solutions: Challenges and Opportunities. IEEE Mi-crow. Mag. 2019, 20, 51–58, doi:10.1109/MMM.2019.2941631.
  31. Shinohara, K. Chapter Four—II-Nitride millimeter wave transistors. In Semiconductors and Semimetals; Chu, R., Shinohara, K., Eds.; Elsevier: Amsterdam, The Netherlands, 2019; Volume 102, pp. 141–184.
  32. Shinohara, K.; Regan, D.C.; Tang, Y.; Corrion, A.L.; Brown, D.F.; Wong, J.C.; Robinson, J.F.; Fung, H.H.; Schmitz, A.; Oh, T.C.; et al. Scaling of GaN HEMTs and schottky diodes for submillimeter-wave MMIC applications. IEEE Trans. Electron De-vices 2013, 60, 2982–2996, doi:10.1109/TED.2013.2268160.
  33. Then, H.W.; Radosavljevic, M.; Desai, N.; Ehlert, R.; Hadagali, V.; Jun, K.; Koirala, P.; Minutillo, N.; Kotlyar, R.; Oni, A.; et al. Advances in Research on 300mm Gallium Nitride-on-Si(111) NMOS Transistor and Silicon CMOS Integration. In Proceed-ings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2020; pp. 27.3.1-27.3.4.
  34. Boles, T. GaN-on-silicon present challenges and future opportunities. In Proceedings of the 2017 12th European Microwave Integrated Circuits Conference (EuMIC), Nuremberg, Germany, 8–10 October 2017; pp. 21–24.
  35. Balteanu, F. RF Front End Module Architectures for 5G. In Proceedings of the 2019 IEEE BiCMOS and Compound semicon-ductor Integrated Circuits and Technology Symposium (BCICTS), Nuremberg, Germany, 3–6 November 2019; pp. 1–8.
  36. Chung, J.W.; Hoke, W.E.; Chumbes, E.M.; Palacios, T. AlGaN/GaN HEMT With 300-GHz fmax. IEEE Electron Device Lett. 2010, 31, 195–197, doi:10.1109/LED.2009.2038935.
  37. Ćwikliński, M.; Brückner, P.; Leone, S.; Friesicke, C.; Maßler, H.; Lozar, R.; Wagner, S.; Quay, R.; Ambacher, O. D-Band and G-Band High-Performance GaN Power Amplifier MMICs. IEEE Trans. Microw. Theory Tech. 2019, 67, 5080–5089, doi:10.1109/TMTT.2019.2936558.
  38. Lin, Y.C.; Chen, S.H.; Lee, P.H.; Lai, K.H.; Huang, T.J.; Chang, E.Y.; Hsu, H.-T. Gallium Nitride (GaN) High-Electron-Mobility Transistors with Thick Copper Metallization Featuring a Power Density of 8.2 W/mm for Ka-Band Applications. Mi-cromachines 2020, 11, 222, doi:10.3390/mi11020222.
  39. Then, H.W.; Dasgupta, S.; Radosavljevic, M.; Agababov, P.; Ban, I.; Bristol, R.; Chandhok, M.; Chouksey, S.; Holybee, B.; Huang, C.Y.; et al. 3D heterogeneous integration of high performance high-K metal gate GaN NMOS and Si PMOS transis-tors on 300mm high-resistivity Si substrate for energy-efficient and compact power delivery, RF (5G and beyond) and SoC applications. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 17.13.11–17.13.14.
  40. Nune, R.; Anurag, A.; Anand, S.; Chauhan, Y.S. Comparative analysis of power density in Si MOSFET and GaN HEMT based flyback converters. In Proceedings of the 2016 10th International Conference on Compatibility, Power Electronics and Power Engineering (CPE-POWERENG), Bydgoszcz, Poland, 29 June–1 July 2016; pp. 347–352.
  41. Roccaforte, F.; Fiorenza, P.; Greco, G.; Nigro, R.L.; Giannazzo, F.; Patti, A.; Saggio, M. Challenges for energy efficient wide band gap semiconductor power devices. Phys. Status Solidi 2014, 211, 2063–2071, doi:10.1002/pssa.201300558.
  42. Li, X.; Gao, S.; Zhou, Q.; Liu, X.; Hu, W.; Wang, H. Fabrication and Performance of Ti/Al/Ni/TiN Au-Free Ohmic Contacts for Undoped AlGaN/GaN HEMT. IEEE Trans. Electron Devices 2020, 67, 1959–1964, doi:10.1109/ted.2020.2982665.
  43. Kawanago, T.; Kakushima, K.; Kataoka, Y.; Nishiyama, A.; Sugii, N.; Wakabayashi, H.; Tsutsui, K.; Natori, K.; Iwai, H. Ad-vantage of TiN Schottky gate over conventional Ni for improved electrical characteristics in AlGaN/GaN HEMT. In Proceed-ings of the 2013 Proceedings of the European Solid-State Device Research Conference (ESSDERC), Bucharest, Romania, 16–20 September 2013; pp. 107–110.
  44. Man Ho, K.; Wong, K.Y.; Lin, Y.S.; Yao, F.W.; Tsai, M.W.; Chang, Y.C.; Chen, P.C.; Su, R.Y.; Wu, C.H.; Yu, J.L.; et al. CMOS-compatible GaN-on-Si field-effect transistors for high voltage power applications. In Proceedings of the 2014 IEEE Interna-tional Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2014; pp. 17.16.11–17.16.14.
  45. Wong, K.Y.R.; Kwan, M.H.; Yao, F.W.; Tsai, M.W.; Lin, Y.S.; Chang, Y.C.; Chen, P.C.; Su, R.Y.; Yu, J.L.; Yang, F.J.; et al. A next generation CMOS-compatible GaN-on-Si transistors for high efficiency energy systems. In Proceedings of the 2015 IEEE International Electron Devices Meeting (IEDM), Wqashington, DC, USA, 7–9 December 2015; pp. 9.5.1–9.5.4.
  46. Zhao, D.G.; Xu, S.J.; Xie, M.H.; Tong, S.Y.; Yang, H. Stress and its effect on optical properties of GaN epilayers grown on Si(111), 6H-SiC(0001), and c-plane sapphire. Appl. Phys. Lett. 2003, 83, 677–679, doi:10.1063/1.1592306.
  47. Nakamura, S. GaN Growth Using GaN Buffer Layer. Jpn. J. Appl. Phys. 1991, 30, L1705–L1707, doi:10.1143/jjap.30.l1705.
  48. Selvaraj, S.L.; Watanabe, A.; Wakejima, A.; Egawa, T. 1.4-kV Breakdown Voltage for AlGaN/GaN High-Electron-Mobility Transistors on Silicon Substrate. IEEE Electron Device Lett. 2012, 33, 1375–1377, doi:10.1109/led.2012.2207367.
  49. Rowena, I.B.; Selvaraj, S.L.; Egawa, T. Buffer Thickness Contribution to Suppress Vertical Leakage Current With High Breakdown Field (2.3 MV/cm) for GaN on Si. IEEE Electron Device Lett. 2011, 32, 1534–1536, doi:10.1109/led.2011.2166052.
  50. Tsuchiya, T.; Kitatani, T.; Terano, A.; Mochizuki, K. Interdiffusion of magnesium and iron dopants in gallium nitride. Jpn. J. Appl. Phys. 2015, 54, 035502, doi:10.7567/jjap.54.035502.
  51. Li, X.; Bergsten, J.; Nilsson, D.; Danielsson, Ö.; Pedersen, H.; Rorsman, N.; Janzén, E.; Forsberg, U. Carbon doped GaN buffer layer using propane for high electron mobility transistor applications: Growth and device results. Appl. Phys. Lett. 2015, 107, 262105, doi:10.1063/1.4937575.
  52. Villamin, M.E.; Kondo, T.; Iwata, N. Effect of C- and Fe-doped GaN buffer on AlGaN/GaN high electron mobility transistor performance on GaN substrate using side-gate modulation. Jpn. J. Appl. Phys. 2021, 60, Sbbd17, doi:10.35848/1347-4065/abe999.
  53. Kato, S.; Satoh, Y.; Sasaki, H.; Masayuki, I.; Yoshida, S. C-doped GaN buffer layers with high breakdown voltages for high-power operation AlGaN/GaN HFETs on 4-in Si substrates by MOVPE. J. Cryst. Growth 2007, 298, 831–834, doi:10.1016/j.jcrysgro.2006.10.192.
  54. Ikeda, N.; Kaya, S.; Li, J.; Kokawa, T.; Satoh, Y.; Katoh, S. High-power AlGaN/GaN HFETs on Si substrates. In Proceedings of the 2010 International Power Electronics Conference—ECCE ASIA, Sapporo, Japan, 21–24 June 2010; pp. 1018–1022.
  55. Hilt, O.; Knauer, A.; Brunner, F.; Bahat-Treidel, E.; Würfl, J. Normally-off AlGaN/GaN HFET with p-type Ga Gate and Al-GaN buffer. In Proceedings of the 2010 22nd International Symposium on Power Semiconductor Devices & IC's (ISPSD), Hi-roshima, Japan, 6–10 June 2010; pp. 347–350.
  56. Heuken, L.; Kortemeyer, M.; Ottaviani, A.; Schröder, M.; Alomari, M.; Fahle, D.; Marx, M.; Heuken, M.; Kalisch, H.; Vescan, A.; et al. Analysis of an AlGaN/AlN super-lattice buffer concept for 650-V low-dispersion and high-reliability GaN HEMTs. IEEE Trans. Electron Devices 2020, 67, 1113–1119, doi:10.1109/TED.2020.2968757.
  57. Amano, H.; Iwaya, M.; Kashima, T.; Katsuragawa, M.; Akasaki, I.; Han, J.; Hearne, S.; Floro, J.A.; Chason, E.; Figiel, J. Stress and defect control in gan using low temperature interlayers. Jpn. J. Appl. Phys. 1998, 37, L1540–L1542, doi:10.1143/jjap.37.l1540.
  58. Zhou, L.; Smith, D.J.; McCartney, M.R.; Katzer, D.S.; Storm, D.F. Observation of vertical honeycomb structure in InAlN∕GaN heterostructures due to lateral phase separation. Appl. Phys. Lett. 2007, 90, 081917, doi:10.1063/1.2696206.
  59. Kotani, J.; Yamada, A.; Ishiguro, T.; Tomabechi, S.; Nakamura, N. Direct observation of nanometer-scale gate leakage paths in AlGaN/GaN and InAlN/AlN/GaN HEMT structures. Phys. Status Solidi 2016, 213, 883–888, doi:10.1002/pssa.201532547.
  60. Ganguly, S.; Konar, A.; Hu, Z.; Xing, H.; Jena, D. Polarization effects on gate leakage in InAlN/AlN/GaN high-electron-mobility transistors. Appl. Phys. Lett. 2012, 101, 253519, doi:10.1063/1.4773244.
  61. Greco, G.; Iucolano, F.; Roccaforte, F. Ohmic contacts to Gallium Nitride materials. Appl. Surf. Sci. 2016, 383, 324–345, doi:10.1016/j.apsusc.2016.04.016.
  62. Luther, B.P.; Mohney, S.E.; Jackson, T.N.; Asif Khan, M.; Chen, Q.; Yang, J.W. Investigation of the mechanism for Ohmic contact formation in Al and Ti/Al contacts ton-type GaN. Appl. Phys. Lett. 1997, 70, 57–59, doi:10.1063/1.119305.
  63. Yadav, Y.K.; Upadhyay, B.B.; Meer, M.; Bhardwaj, N.; Ganguly, S.; Saha, D. Ti/Au/Al/Ni/Au low contact resistance and sharp edge acuity for highly scalable AlGaN/GaN HEMTs. IEEE Electron Device Lett. 2019, 40, 67–70, doi:10.1109/led.2018.2884155.
  64. Li, Y.; Ng, G.I.; Arulkumaran, S.; Ye, G.; Liu, Z.H.; Ranjan, K.; Ang, K.S. Investigation of gate leakage current mechanism in AlGaN/GaN high-electron-mobility transistors with sputtered TiN. J. Appl. Phys. 2017, 121, 044504, doi:10.1063/1.4974959.
  65. Kim, J.K.; Jang, H.W.; Lee, J.-L. Mechanism for Ohmic contact formation of Ti onn-type GaN investigated using synchrotron radiation photoemission spectroscopy. J. Appl. Phys. 2002, 91, 9214–9217, doi:10.1063/1.1476085.
  66. Chandran, N.; Kolakieva, L.; Kakanakov, R.; Polychroniadis, E.K. The role of the Ti and Mo barrier layer in Ti/Al metalliza-tion to AlGaN/GaN heterostructures at identical process conditions: A structural and chemical characterization. Semicond. Sci. Technol. 2015, 30, 115011, doi:10.1088/0268-1242/30/11/115011.
  67. Kolaklieva, L.; Kakanakov, R.; Cimalla, V.; Maroldt, S.; Niebelschutz, F.; Tonisch, K.; Ambacher, O. The role of Ti/A1 ratio in nanolayered ohmic contacts for GaN/AlGaN HEMTs. In Proceedings of the 2008 26th International Conference on Microelec-tronics, Nis, Serbia, 11–14 May 2008; pp. 221–224.
  68. Schmitz, A.C.; Ping, A.T.; Khan, M.A.; Chen, Q.; Yang, J.W.; Adesida, I. Metal contacts to n-type GaN. J. Elec. Mater. 1998, 27, 255–260.
  69. Miura, N.; Nanjo, T.; Suita, M.; Oishi, T.; Abe, Y.; Ozeki, T.; Ishikawa, H.; Egawa, T.; Jimbo, T. Thermal annealing effects on Ni/Au based Schottky contacts on n-GaN and AlGaN/GaN with insertion of high work function metal. Solid State Electron. 2004, 48, 689–695, doi:10.1016/j.sse.2003.07.006.
More
Information
Contributors MDPI registered users' name will be linked to their SciProfiles pages. To register with us, please refer to https://encyclopedia.pub/register : ,
View Times: 972
Revisions: 2 times (View History)
Update Date: 01 Jul 2021
1000/1000