Submitted Successfully!
To reward your contribution, here is a gift for you: A free trial for our video production service.
Thank you for your contribution! You can also upload a video entry or images related to this topic.
Version Summary Created by Modification Content Size Created at Operation
1 -- 3427 2022-10-28 09:40:18 |
2 format correct Meta information modification 3427 2022-10-31 05:05:07 | |
3 format correct + 1 word(s) 3428 2022-10-31 05:09:12 | |
4 format correct Meta information modification 3428 2022-10-31 07:59:04 | |
5 format correct Meta information modification 3428 2022-10-31 08:16:54 |

Video Upload Options

Do you have a full video?

Confirm

Are you sure to Delete?
Cite
If you have any further questions, please contact Encyclopedia Editorial Office.
Ahmed, F.;  Jenihhin, M. Unmanned Aerial Vehicle Computing Platforms. Encyclopedia. Available online: https://encyclopedia.pub/entry/31724 (accessed on 18 April 2024).
Ahmed F,  Jenihhin M. Unmanned Aerial Vehicle Computing Platforms. Encyclopedia. Available at: https://encyclopedia.pub/entry/31724. Accessed April 18, 2024.
Ahmed, Foisal, Maksim Jenihhin. "Unmanned Aerial Vehicle Computing Platforms" Encyclopedia, https://encyclopedia.pub/entry/31724 (accessed April 18, 2024).
Ahmed, F., & Jenihhin, M. (2022, October 28). Unmanned Aerial Vehicle Computing Platforms. In Encyclopedia. https://encyclopedia.pub/entry/31724
Ahmed, Foisal and Maksim Jenihhin. "Unmanned Aerial Vehicle Computing Platforms." Encyclopedia. Web. 28 October, 2022.
Unmanned Aerial Vehicle Computing Platforms
Edit

Unprecedented advances in Unmanned Aerial Vehicles (UAVs) or drones, their application has become widespread in public and industrial sectors. Now, drones are used in many areas such as the deployment of wireless networks, product shipping and delivery, precision agriculture, object detection and tracking, border surveillance and monitoring, remote sensing and environmental monitoring, traffic control, and earth mapping.

unmanned aerial vehicles computing platforms flight control operation

1. Introduction

In general, Unmanned Aerial Vehicles (UAVs) can be categorized by using construction and altitude. Depending on the construction, UAVs are either fixed-wing or rotary-wing. While most commercial UAVs are rotary-wing types, fixed-wing UAVs are used for very high-speed operations and can carry much heavier payloads. On the other hand, rotary-wing UAVs can fly at low speeds and has outstanding mobility. The latter type of UAV became popular for many potential applications. In terms of altitude, UAVs also can be found as high-altitude platforms which are deployed for long-endurance surveillance and can fly at altitudes above 17 km and remain almost stationary. Low-altitude UAVs, on the other hand, are designed to move quickly at an altitude of a couple of meters up to a few kilometers [1].
Generally, a UAV is a part of an Unmanned Aerial System (UAS). The following subsystems are the main parts of a regular UAS.
  • Ground Control Station:
The ground control station acts as the central control unit of the overall UAS, where all the data (video, command, and telemetry) received from the UAV is analyzed and monitored for further decision-making. For smaller UAV applications, communication over a range of up to several kilometers often uses a remote-control system. Satellite systems may be involved in extreme UAV operations where the ground station is located thousands of kilometers from the UAV work zone [2]. Recently, autonomous UAVs or autonomous swarms of UAVs were proposed that may operate in the field without continuous communication with the GCS.
  • UAV Communication Link:
Data-link or COM is a part of UAVs that provides duplex communication with the ground control station and other UAVs. To safely and reliably operate the UAV, a stable communication system is an important requirement. The COM is mainly composed of a transmitter, receiver, antenna, modulator, etc. Recently the fifth-generation (5G) communication, both the 5G base station-based and device-to-device (side-link) protocols, becomes widely used for this purpose.
  • UAV Sensor and Actuator:
UAV sensors can be broadly categorized as critical sensors for Inertial Measurement Units (IMUs) and navigation and tracking sensors for route planning and object detection [3]. The critical sensors such as accelerometer, gyroscope, magnetometer, compass, ultrasound height, and pressure sensors are mainly used for flight control to measure the altitude and rotational axis. Image sensors such as video cameras (monocular or stereo), Light Detection and Ranging (Lidar), Radio Detection and Ranging (Radar), and lasers are employed to capture videos and images in path planning and object (stationary or moving) detection. Motors and associated electronics drive circuits act as actuators in UAVs.
  • UAV Computing Platforms:
Similar to other embedded systems, a UAV needs a CP as a processing system that retrieves data from payloads and other sub-modules. The processed information is then delivered to the actuator and ground station or another UAV to operate the UAV successfully. Most of the CPs of commercial and civil UAVs are μC- or COTS-based embedded systems. However, modern UAVs are performing complex image processing and real-time object detection with the help of ML, DL, and other types of mathematical algorithms. UAVs deployed for applications implying computation-intensive processing use high-speed multi- and many-core processor systems, Graphical Processing Units (GPUs), FPGAs, All Programmable Systems-on-Chip (APSoCs), SoC-FPGA, to process their complex tasks efficiently.
In the following subsection, researchers will explore CP used for FMs of UAVs in high-level and low-level applications.

2. Flight Control Computer

The Flight Control Computer (FCC) of FMs plays a significant role in keeping the UAVs in a specific position and returning to the base station properly. If the FCC does not function accurately, there may be a chance of an accident or failing the mission. FCC monitors UAV states continuously through various critical and navigation sensors. The FCC can be sorted by low- and high-level flight control operation [3]. In low-level, basic flight control operations, such as motor control, UAV stability, and processing sensor data are performed. FCC is often engaged in high-level applications such as autonomous navigation, path planning, stereo vision, simultaneous localization, and mapping that make UAVs autonomous. In high-level operations, FCC requires high processing power where an OS is running over HW/SW co-design to implement complex navigation and object detection algorithms. The modern CP such as SoC-FPGA itself can perform both high and low-level operations researchers denoted them in this research as hybrid-level, although it also requires the help of other computing devices in critical applications.

2.1. Low-Level FCC

SoC-FPGA: Low-level FCC FMs such as IMU core, receiver IP cores for pulse-width modulation signal, and Proportional Integral Derivative (PID) controllers were designed and developed on a single SoC-FPGA-based CP in work [4]. In work [5], the authors proposed four techniques in designing the controller of the FCC considering low power, fast response, and less volume for FPGA- or Digital Signal Processor (DSP)-based small UAVs. Research work in [6], presented a secured operation for FCC FM by using μC and FPGA combinedly. μC controls all sensors and generates the signals for controlling the UAV motors. FPGA handles the data encryption and decryption task before sending data to the UAV’s motor and radio systems.
μC-based FCC: Using several low-cost sensors such as an IMUs and a Lidar, the research work [7] implemented an μC-based FCC FM for the small rotary-wing UAVs to estimate the position of the UAV and its distance from an obstacle or a landing field. Employing several low-cost sensors such as a 10-DOF micro-electro-mechanical system IMU and a Lidar, research work [8] applied the μC-based FCC FM for small rotary-wing UAVs to determine the location of the UAV and its distance from an obstacle or the landing surface.

2.2. High-Level FCC

SoC-FPGA for Algorithm Implementation: The authors in work [9] presented SW/HW co-design framework for UAV returning by proposing an improved region-based Kanade-Lucas-Tomasi tracking algorithm. They also improved the hardware acceleration architecture by integrating parallelism and improving resource utilization for FCC FM in the SoC-FPGA-based CP. In the study [10], the authors developed real-time processing systems such as mean subtraction, windowing, finite impulse response filtering, decimation, and spectral estimation via Fast Fourier Transform (FFT). Their implementation results using similar SoC-FPGA CP achieved real-time 3-dimensional detection of local UAV traffic at a range of 1000 m. Similar work is presented by [11] where additional processing system for frequency modulated continuous wave phased array Radar utilizing SoC-FPGA for autonomous navigation to identify nearby aircraft such as small UAVs up to 350 m and bigger aircraft up to 800 m. On that CP, DSP algorithms were also employed, including parallel FFT, cross-correlation, and beam-forming. In work [12], the CORDIC, EKF, and PID-Fuzzy algorithms were integrated with the FCC platform to create a real-time Guidance, Navigation, and Contro (GNC) system on an FPGA to read data from IMU sensors. After processing the payload data, FPGA-based CP generates navigation commands as Pulse width Modulation to actuator and servo motors.
μC-based High-level FCC: A decision-making algorithm based on fuzzy logic was demonstrated in [13] using the Arduino Uno μC CP for controlling the IMU of autonomous UAVs. They used an IMU algorithm to predict the parameters of inclination, lateral, and bending angles in flight, which allows the UAV to navigate fast and avoid obstacles. Another study [14] employed an μC-based CP with an embedded flight map containing flight information and constraints on the cargo carried and the flying mode.

2.3. Hybrid-Level FCC

SoC-FPGA-based Hybrid-level FCC: A model-based HW/SW co-design was proposed in [15] for implementing both high and low-level FCC FMs, where they represented and compared four possible boards to implement such operations. In noisy environments, such as where it is cloudy or under trees, the GPS signals are so weak that UAV faces difficulty in tracking and localization. To tackle these real-time challenges, the work in [16] implemented a real-time vision-based navigation system based on the AprilTag algorithm using the SoC-FPGA CP to perform real-time pose estimation, tracking, and localization in GPS-denied environments. In another similar work [17], the authors presented an approximate adder design focused on error-tolerant size, weight, and power for intensive UAV imaging applications such as 2-dimensional Discrete Cosine Transform, airborne self-localization, and moving object tracking algorithms.
μC-based Hybrid-level FCC: The basic FCC operations such as dynamic modeling, control system design, model-in-the-loop, and hardware-in-the-loop of an unmanned helicopter were implemented using a novel Linux-based flight control system built on Raspberry Pi board in work [18]. In [19], the authors focused on implementing an autonomous source-seeking application using Deep Reinforcement Learning on μC-based CP for nano quadcopters. They tested their proposed method using open-source CrazyFile nano quadcopters and found it to be 70% more efficient in source seeking. Using a similar μC-based CP and open-source CrazyFile nano quadcopters, the work in [20] provided an onboard HW/SW autonomous visual navigation system utilizing a CNN-based DL network.
Table 1 shows the list of research works and their implemented FCC FM along with applications. From this table, researchers can observe that SoC-FPGA platforms are utilized in most of the cases for conducting both high- and low-level operations.

3. Computation Intensive Payload

In this section, researchers discuss representative CIP FMs of UAVs such as object detection, tracking, image processing, and NNA applications.

3.1. Object Detection, Tracking, and Environment Monitoring

SoC-FPGA-based Detection: In work [21], an infrared image processing system was implemented using combined computing platforms of FPGA and DSP for image acquisition, tracking, and matching algorithms. Terrain classification is important for an emergency landing, aerial mapping, decision making, and cooperation between UAVs in autonomous navigation systems. Using three algorithms (Gray-Level Co-Occurrence Matrix, Gray-Level Run Length Matrix, and Flow), the research [22] provided a complete solution for terrain classification in differentiating among the four terrain types (water, vegetation, asphalt, and sand). Their proposed solution developed on the FPGA achieved a 95.14% success rate in train classification using the OpenCV library. Another challenge of UAVs in the SAR operation is the moving target detection. The authors of [23][24] included speed estimates and object segmentation algorithms to identify real-time moving objects using an area-based image registration method in the SoC-FPGA-based CP.
μC-based Detection: In work [25], a moving target detection system was implemented while considering avoiding obstacles robustly in heterogeneous swarm of UAVs. Employing μC-based hybrid controllers, they implemented target seeking and obstacle avoidance calculations separately in a distributed UAV swarm architecture. Similar research work in [26] presented resource-limited platforms using μC and GPU for AI-based object detection and tracking. A CNN algorithm is incorporated where an object tracking algorithm is tailored based on a Gain-Scheduled PID controller to follow the detected object under variable speed.
Sometimes, UAVs are used in safety operations to monitor the surroundings as environment monitoring. For instance, authors in work [27] mounted the toxic gas detection sensor array on the IoT-based UAV architecture to monitor the air quality in the given environment. They used μC-based controllers to connect the air sensors and to monitor the sensor data. In a rescue operation using a UAV, people or face recognition is another challenging task that requires a real-time complex processing system. A face detection and recognition system utilizing μC-based CP can identify disastrous people on the ground with high accuracy. In research work [28], authors used the Haar cascade classifier algorithm with OpenCV library in their model and reported that they achieved a 98% True Positive rate for 1.5 m height using the Haar cascade classifier algorithm with OpenCV library in the design. Similar work in [29], the authors used a CNN algorithm for the classification and obtained 100% accuracy with a distance of object 1–4 m in detecting victims of natural disasters. Table 2 shows the different CPs used in various object detection and tracking applications of UAVs.

3.2. Neural Network Accelerator

In this subsection, researchers discuss several representative CPs used as an NNA FM. NNA is, actually, a special processor designed for an artificial NN-based ML workload.
FPGA as an NNA: In computer vision tasks such as image classification or segmentation, video analysis, and CNN-related DL algorithms are used intensively in many applications. However, the CNN model is challenging to implement in a resource-constrained UAV due to model complexity and costly computing procedures. Many researchers are now employing FPGA-based hardware accelerators to tackle this issue efficiently [30][31][32][33]. In the research work in [30], the author proposed a scalable FPGA-based CNN hardware accelerator for embedded systems based on an 8-bit fixed-point approximation of a hardware-friendly CNN model with the OpenCL framework and obtained 1.9× energy efficiency compared to previous work. Similar works [31][32] described FPGA-based hardware accelerators for implementing depthwise CNN. These research works also achieved better performance than CPU and GPU in object detection. The authors used coarse-grained and fine-grained parallel computing optimization methodologies to improve computational speed and throughput in an FPGA-based CNN accelerator.
multi-sensory fusion technique using infrared and visible light based on CNN for UAV surveillance operations was presented in work [34]. They built an image fusion approach on two widely used HW accelerators: Zedboard (ARM + FPGA) and NVIDIA TX1 (ARM + GPU), and evaluated the performance, finding that FPGA-based platforms outperform GPU-based platforms. An automated navigation system utilizing both IMU sensors and image processing was employed to estimate the UAV location discussed in the work [35]. They developed a hybrid computing architecture consisting of FPGA, CPU, and μC for carrying out the implementation and data fusion process. In work [36], another multi-sensory fusion task was demonstrated in an energy-efficient way using the Spiking NN on the FPGA-based platform. Their proposed hardware implementation achieved an accuracy of 99.7%.
The research in [37] achieved higher performances using Zynq FPGA over the conventional GPU as an accelerator to implement CNN-based image processing for real-time object detection scenarios. To address the issue of the Quality of Experience (QoE), the authors developed an FPGA-based architecture called SCYLLA [38]. SCYLLA offers a novel reconfiguration-based profile generation technique that generates a pool of FPGA design and Deep Neural Network (DNN) model profiles with different QoE performances. They reported that SCYLLA reduces the processing latency by 11.9× and saves 71.5× of the energy consumption compared to the CPU-based solution. Recently, the You-Only-Look-Once (YOLO) method, a fast and accurate DNN architecture, explored new concepts in real-time multi-object recognition. The authors of [39] investigated the performance of several SoC-FPGA platforms in real-time object detection and recognition on the YOLO network. A Tiny YOLOv2 was designed in [40] for the real-time object detection for CNN-based implementation using FPGAs where they achieved 3.19× better than the GPU for the performance-power efficiency. Similarly, in [41], a YOLOv2 NNA was developed on the FPGA platform by designing an accelerator memory access module. Their evaluation proved that the implemented design performs better balance speed and accuracy compared with similar research results.
Table 3 shows several representative FPGA-based CPs used as accelerators in NNA applications for the UAVs.

4. Communication Module

SoC-FPGA-based COM: The authors in work [42], presented an FPGA-based Channel Emulator for Non-Stationary Multiple Input Multiple Output (MIMO) Fading Channels required for UAV communication system. They developed several COMs such as a delay module, fading generation, an interpolator for a 2 × 2 MIMO channel implemented in a single FPGA CP which achieved a good performance. A data link terminal controlling several UAVs dynamically was implemented effectively on the FPGA CP in [43] which focused on digital zero-IF signal processing unit design and hardware implementation process. The interleaver module is an important component in the transmitter and receiver module for stable UAV communication. The research work [44] implemented that module on the FPGA CP using LUT RAM. The authors, in work [45], designed an agile digital Software Defined Radio (SDR) system in the SoC-FPGA for the UAV target application. The COMs such as Global Navigation Satellite System, GSM, and WiFi were tested and evaluated on that SoC-FPGA-based CP. In another similar work [46], the authors presented a downlink and uplink high-speed communication in a rapidly changing propagation environment for short-range UAVs. They implemented their proposed design in the SDR system using FPGA and μC.
In [47], the authors proposed a security architecture that uses for UAV reliable communication and evaluated COM on the FPGA CP involving the transmission of bitstreams between the UAV and ground station. Similar reliable communication between the UAV and ground control station was built in research work [48] using μC-based CP for a UAV communication system to evaluate the single-carrier Frequency Division Multiplexing (FDM) modulation technique.
An extensive study was performed to investigate the performance enhancement in the UAV-assisted networks for the 5G and beyond 5G wireless communication system [49]. The UAV-assisted networks for 5G wireless communication systems can be a promising solution to deploy emergency wireless communication networks to restore connectivity in post-disaster areas. A model for 5G communication networks was developed for post-disaster wireless networks considering FPGA as the implementation unit of a reconfigurable intelligent reflecting surface to find an optimal power allocation [50][51].
Table 4 represents several CPs used for COMs for the UAVs.

5. Layers of the UAV Computing Platform

Researchers can conclude that CP is the heart of the UAV system; it controls all sub-systems of the UAV. Based on the above analysis of the CPs used in different UAV applications, researchers can illustrate a comprehensive layered representation of UAV systems and its subsystems in Figure 1 assuming a swarm intelligent application. The lower layer of the figure represents the UAV edge node, where, in the IoT terms, edge computing is performed considerably reducing time delay and energy consumption when performing a complex task such as real-time object detection [52][53]. The middle layer of this figure represents the UAV swarm intelligence at the fog level, where multiple UAV systems (edge nodes) collaboratively perform real-time complex computing tasks that require offloading technology for the edge UAV to reduce the energy consumption, latency, and throughput [54][55][56]. Efficient communication between multiple UAVs also needs a resource allocation mechanism that can be applied in the UAV networks to maximize the efficiency of the UAV systems [57][58]. The wireless communication networks of UAVs could also be affected by potential cyber-attacks as mentioned [59][60]. Finally, the ground station at the cloud level controls the overall UAV systems by receiving and transmitting the signal. The focus of this survey work is on the CP which is the core processing part for the edge computing of the UAV system as shown in the lower part of the figure. The correct operation of a UAV system is strongly intertwined with the CP’s hardware reliability, necessitating the use of a cross-layer fault-tolerant management system and keeping care of all of the subsystems indicated in the figure. The hardware reliability evaluation for UAVs is discussed in the next section.
Figure 1. Basic overview of UAV system.

References

  1. Mozaffari, M.; Saad, W.; Bennis, M.; Nam, Y.H.; Debbah, M. A tutorial on UAVs for wireless networks: Applications, challenges, and open problems. IEEE Commun. Surv. Tutor. 2019, 21, 2334–2360.
  2. Fahlstrom, P.; Gleason, T. Introduction to UAV Systems; John Wiley & Sons: Hoboken, NJ, USA, 2012.
  3. Bouhali, M.; Shamani, F.; Dahmane, Z.E.; Belaidi, A.; Nurmi, J. FPGA applications in unmanned aerial vehicles-a review. In Proceedings of the International Symposium on Applied Reconfigurable Computing, Delft, The Netherlands, 3–7 April 2017; Springer: Berlin/Heidelberg, Germany, 2017; pp. 217–228.
  4. Cain, B.; Merchant, Z.; Avendano, I.; Richmond, D.; Kastner, R. PynqCopter-An Open-source FPGA Overlay for UAVs. In Proceedings of the 2018 IEEE International Conference on Big Data (Big Data), Seattle, WA, USA, 10–13 December 2018; pp. 2491–2498.
  5. Sharma, B.L.; Khatri, N.; Sharma, A. An analytical review on FPGA based autonomous flight control system for small UAVs. In Proceedings of the 2016 International Conference on Electrical, Electronics, and Optimization Techniques (ICEEOT), Chennai, India, 3–5 March 2016; pp. 1369–1372.
  6. Psilias, D.; Milidonis, A.; Voyiatzis, I. Architecture for Secure UAV Systems. In Proceedings of the 24th Pan-Hellenic Conference on Informatics, Athens, Greece, 20–22 November 2020; pp. 99–102.
  7. von Eichel-Streiber, J.; Weber, C.; Rodrigo-Comino, J.; Altenburg, J. Controller for a Low-Altitude Fixed-Wing UAV on an Embedded System to Assess Specific Environmental Conditions. Int. J. Aerosp. Eng. 2020, 2020, 1360702.
  8. Ariante, G.; Papa, U.; Ponte, S.; Del Core, G. UAS for positioning and field mapping using LIDAR and IMU sensors data: Kalman filtering and integration. In Proceedings of the 2019 IEEE 5th International Workshop on Metrology for AeroSpace (MetroAeroSpace), Torino, Italy, 19–21 June 2019; pp. 522–527.
  9. He, Q.; Chen, W.; Zou, D.; Chai, Z. A novel framework for UAV returning based on FPGA. J. Supercomput. 2021, 77, 4294–4316.
  10. Newmeyer, L.O. Efficient FPGA SoC Processing Design for a Small UAV Radar; Brigham Young University: Provo, UT, USA, 2018.
  11. Moody, K.P. FPGA-Accelerated Digital Signal Processing for UAV Traffic Control Radar; Brigham Young University: Provo, UT, USA, 2021.
  12. Cadena, A.; Ponguillo, R.; Ochoa, D. Development of guidance, navigation and control system using FPGA technology for an UAV tricopter. In Mechatronics and Robotics Engineering for Advanced and Intelligent Manufacturing; Springer: Berlin/Heidelberg, Germany, 2017; pp. 363–375.
  13. Yemelyev, A.; Moldamurat, K.; Seksenbaeva, R. Development and Implementation of Automated UAV Flight Algorithms for Inertial Navigation Systems. In Proceedings of the 2021 IEEE International Conference on Smart Information Systems and Technologies (SIST), Nur-Sultan, Kazakhstan, 28–30 April 2021; pp. 1–5.
  14. Golovnin, O. An Embedded Equipment Concept for UAV Mission Control. In Proceedings of the 2020 International Multi-Conference on Industrial Engineering and Modern Technologies (FarEastCon), Vladivostok, Russia, 6–9 October 2020; pp. 1–5.
  15. Muttillo, V.; Stoico, V. Model-Based HW/SW Co-Design Methodology for UAV Systems Development. In Proceedings of the 2021 10th Mediterranean Conference on Embedded Computing (MECO), Budva, Montenegro, 7–10 June 2021; pp. 1–6.
  16. Tola, E. Real-Time UAV Pose Estimation and Tracking Using FPGA Accelerated April Tag. Master’s Thesis, Rochester Institute of Technology, Rochester, NY, USA, 2021.
  17. Nomani, T.; Mohsin, M.; Pervaiz, Z.; Shafique, M. xUAVs: Towards Efficient Approximate Computing for UAVs—Low Power Approximate Adders With Single LUT Delay for FPGA-Based Aerial Imaging Optimization. IEEE Access 2020, 8, 102982–102996.
  18. Khalesi, M.H.; Salarieh, H.; Foumani, M.S. Dynamic modeling, control system design and MIL–HIL tests of an unmanned rotorcraft using novel low-cost flight control system. Iran. J. Sci. Technol. Trans. Mech. Eng. 2020, 44, 707–726.
  19. Duisterhof, B.P.; Krishnan, S.; Cruz, J.J.; Banbury, C.R.; Fu, W.; Faust, A.; de Croon, G.C.; Reddi, V.J. Learning to seek: Autonomous source seeking with deep reinforcement learning onboard a nano drone microcontroller. arXiv 2019, arXiv:1909.11236.
  20. Palossi, D.; Loquercio, A.; Conti, F.; Flamand, E.; Scaramuzza, D.; Benini, L. A 64-mW DNN-based visual navigation engine for autonomous nano-drones. IEEE Internet Things J. 2019, 6, 8357–8371.
  21. Wu, Q.; He, J.; Li, X. Design of infrared imaging processing system for uncooled LWIR base on UAV platform. In Proceedings of the 2017 5th International Conference on Frontiers of Manufacturing Science and Measuring Technology (FMSMT 2017), Taiyuan, China, 24–25 June 2017; Atlantis Press: Amsterdam, The Netherlands, 2017; pp. 1283–1288.
  22. Matos-Carvalho, J.P.; Moutinho, F.; Salvado, A.B.; Carrasqueira, T.; Campos-Rebelo, R.; Pedro, D.; Campos, L.M.; Fonseca, J.M.; Mora, A. Static and Dynamic Algorithms for Terrain Classification in UAV Aerial Imagery. Remote Sens. 2019, 11, 2501.
  23. Wang, S.; Jiang, F.; Zhang, B.; Ma, R.; Hao, Q. Development of UAV-based target tracking and recognition systems. IEEE Trans. Intell. Transp. Syst. 2019, 21, 3409–3422.
  24. Kövari, B.B.; Ebeid, E. MPDrone: FPGA-based Platform for Intelligent Real-time Autonomous Drone Operations. In Proceedings of the 2021 IEEE International Symposium on Safety, Security, and Rescue Robotics (SSRR), New York City, NY, USA, 25–27 October 2021; pp. 71–76.
  25. Mohr, H. UAV Implementation of Distributed Robust Target Location in Unknown Environments. In Proceedings of the 2020 IEEE Aerospace Conference, Big Sky, MT, USA, 7–14 March 2020; pp. 1–10.
  26. Rabah, M.; Rohan, A.; Haghbayan, M.H.; Plosila, J.; Kim, S.H. Heterogeneous parallelization for object detection and tracking in UAVs. IEEE Access 2020, 8, 42784–42793.
  27. Ghosh, S.; Ghosh, K.; Karamakar, S.; Prasad, S.; Debabhuti, N.; Sharma, P.; Tudu, B.; Bhattacharyya, N.; Bandyopadhyay, R. Development of an IOT based robust architecture for environmental monitoring using UAV. In Proceedings of the 2019 IEEE 16th India Council International Conference (INDICON), Rajkot, India, 13–15 December 2019; pp. 1–4.
  28. Daryanavard, H.; Harifi, A. Implementing Face Detection System on UAV Using Raspberry Pi Platform. In Proceedings of the Electrical Engineering (ICEE), Iranian Conference on 2018, Lahore, Pakistan, 15–16 February 2018; pp. 1720–1723.
  29. Hartawan, D.R.; Purboyo, T.W.; Setianingsih, C. Disaster Victims Detection System Using Convolutional Neural Network (CNN) Method. In Proceedings of the 2019 IEEE International Conference on Industry 4.0, Artificial Intelligence, and Communications Technology (IAICT), Bali, Indonesia, 1–3 July 2019; pp. 105–111.
  30. Zhao, J.; Yin, Z.; Zhao, Y.; Wu, M.; Xu, M. Scalable FPGA-Based Convolutional Neural Network Accelerator for Embedded Systems. In Proceedings of the 2019 4th International Conference on Computational Intelligence and Applications (ICCIA), Nanchang, China, 21–23 June 2019; pp. 36–40.
  31. Zhao, T.; Qiao, L.; Chen, Q.; Zhang, Q.; Li, N. A Hardware Accelerator Based on Neural Network for Object Detection. J. Phys. Conf. Ser. 2020, 1486, 022045.
  32. Ding, W.; Huang, Z.; Huang, Z.; Tian, L.; Wang, H.; Feng, S. Designing efficient accelerator of depthwise separable convolutional neural network on FPGA. J. Syst. Archit. 2019, 97, 278–286.
  33. Wang, E.; Qiu, D. Acceleration and Implementation of Convolutional Neural Network Based on FPGA. In Proceedings of the 2019 IEEE 7th International Conference on Computer Science and Network Technology (ICCSNT), Dalian, China, 19–20 October 2019; pp. 321–325.
  34. Li, J.; Peng, Y.; Jiang, T. Embedded real-time infrared and visible image fusion for UAV surveillance. J.-Real-Time Image Process. 2021, 18, 2331–2345.
  35. da Penha Neto, G.; de Campos Velho, H.F.; Shiguemori, E.H. UAV autonomous navigation by data fusion and FPGA. Mecánica Comput. 2019, 37, 609–618.
  36. Corradi, F.; Adriaans, G.; Stuijk, S. Gyro: A Digital Spiking Neural Network Architecture for Multi-Sensory Data Analytics. In Proceedings of the 2021 Drone Systems Engineering and Rapid Simulation and Performance Evaluation: Methods and Tools Proceedings, Budapest, Hungary, 18–20 January 2021; pp. 9–15.
  37. Li, S.; Sun, K.; Luo, Y.; Yadav, N.; Choi, K. Novel CNN-based AP2D-net accelerator: An area and power efficient solution for real-time applications on mobile FPGA. Electronics 2020, 9, 832.
  38. Jiang, S.; Ma, Z.; Zeng, X.; Xu, C.; Zhang, M.; Zhang, C.; Liu, Y. Scylla: QoE-aware continuous mobile vision with FPGA-based dynamic deep neural network reconfiguration. In Proceedings of the IEEE INFOCOM 2020-IEEE Conference on Computer Communications, Toronto, ON, Canada, 6–9 July 2020; pp. 1369–1378.
  39. Çambay, V.Y.; Uçar, A.; Arserim, M.A. Object detection on FPGAs and GPUs by using accelerated deep learning. In Proceedings of the 2019 International Artificial Intelligence and Data Processing Symposium (IDAP), Malatya, Turkey, 21–22 September 2019; pp. 1–5.
  40. Nakahara, H.; Sasao, T. A High-speed Low-power Deep Neural Network on an FPGA based on the Nested RNS: Applied to an Object Detector. In Proceedings of the 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Florence, Italy, 27–30 May 2018; pp. 1–5.
  41. Li, J.; Liang, Y.; Wang, S.; Yang, J. Design of Memory Access Module for YOLO v2 Neural Network Accelerator Based on FPGA. In Proceedings of the 2020 4th International Conference on Electronic Information Technology and Computer Engineering, Xiamen, China, 6–8 November 2020; pp. 658–662.
  42. Zhu, Q.; Huang, W.; Mao, K.; Zhong, W.; Hua, B.; Chen, X.; Zhao, Z. A Flexible FPGA-Based Channel Emulator for Non-Stationary MIMO Fading Channels. Appl. Sci. 2020, 10, 4161.
  43. Junkai, M.; Wang, X.; Chen, K.; Liu, Q. Design and Study UAV Data Link Terminal with One Station Controls Several Vehicles Dynamic Networking. J. Phys. Conf. Ser. 2020, 1486, 052015.
  44. Mun, G.; Kim, H.W.; Kim, D. CNPC deinterleaver implementation to increase hardware logic utilization on FPGA. In Proceedings of the 2021 International Conference on Artificial Intelligence in Information and Communication (ICAIIC), Jeju Island, Korea, 13–16 April 2021; pp. 385–389.
  45. Adane, Y. A Smart Digital Software Radio Transceiver Design Concept for UAV and Autonomous Vehicles Application. In Proceedings of the 2019 Advances in Science and Engineering Technology International Conferences (ASET), Dubai, United Arab Emirates, 26 March–10 April 2019; pp. 1–6.
  46. Krenz, R.; Sybis, M.; Sroka, P.; Wesołowski, K. On the Design of a High-Throughput Communication Link for Short-Range UAVs Operating in Dense Urban Environment. In Proceedings of the 2020 IEEE 91st Vehicular Technology Conference (VTC2020-Spring), Antwerp, Belgium, 25–28 May 2020; pp. 1–6.
  47. Nwachioma, C.; Ezuma, M.; Medaiyese, O.O. FPGA prototyping of synchronized chaotic map for UAV secure communication. In Proceedings of the 2021 IEEE Aerospace Conference (50100), Big Sky, MT, USA, 6–13 March 2021; pp. 1–7.
  48. Atoev, S.; Kwon, O.H.; Lee, S.H.; Kwon, K.R. An efficient SC-FDM modulation technique for a UAV communication link. Electronics 2018, 7, 352.
  49. Zeng, Y.; Wu, Q.; Zhang, R. Accessing from the sky: A tutorial on UAV communications for 5G and beyond. Proc. IEEE 2019, 107, 2327–2375.
  50. Yao, Z.; Cheng, W.; Zhang, W.; Zhang, H. Resource Allocation for 5G-UAV-Based Emergency Wireless Communications. IEEE J. Sel. Areas Commun. 2021, 39, 3395–3410.
  51. Wu, Q.; Zhang, S.; Zheng, B.; You, C.; Zhang, R. Intelligent Reflecting Surface-Aided Wireless Communications: A Tutorial. IEEE Trans. Commun. 2021, 69, 3313–3351.
  52. Salhaoui, M.; Guerrero-González, A.; Arioua, M.; Ortiz, F.J.; El Oualkadi, A.; Torregrosa, C.L. Smart Industrial IoT Monitoring and Control System Based on UAV and Cloud Computing Applied to a Concrete Plant. Sensors 2019, 19, 3316.
  53. Bhoi, S.K.; Jena, K.K.; Panda, S.K.; Long, H.V.; Kumar, R.; Subbulakshmi, P.; Jebreen, H.B. An Internet of Things assisted Unmanned Aerial Vehicle based artificial intelligence model for rice pest detection. Microprocess. Microsyst. 2021, 80, 103607.
  54. Rahbari, D.; Alam, M.M.; Moullec, Y.L.; Jenihhin, M. Fast and Fair Computation Offloading Management in a Swarm of Drones Using a Rating-Based Federated Learning Approach. IEEE Access 2021, 9, 113832–113849.
  55. Zhang, J.; Zhou, L.; Zhou, F.; Seet, B.C.; Zhang, H.; Cai, Z.; Wei, J. Computation-efficient offloading and trajectory scheduling for multi-UAV assisted mobile edge computing. IEEE Trans. Veh. Technol. 2019, 69, 2114–2125.
  56. Zhan, C.; Hu, H.; Sui, X.; Liu, Z.; Niyato, D. Completion Time and Energy Optimization in UAV-Enabled Mobile Edge Computing System. IEEE Internet Things J. 2020, 7, 7808–7822.
  57. Cui, J.; Liu, Y.; Nallanathan, A. Multi-agent reinforcement learning-based resource allocation for UAV networks. IEEE Trans. Wirel. Commun. 2019, 19, 729–743.
  58. Cai, Y.; Wei, Z.; Li, R.; Ng, D.W.K.; Yuan, J. Joint trajectory and resource allocation design for energy-efficient secure UAV communication systems. IEEE Trans. Commun. 2020, 68, 4536–4553.
  59. Yan, S.; Nguang, S.K.; Zhang, L. Nonfragile Integral-Based Event-Triggered Control of Uncertain Cyber-Physical Systems under Cyber-Attacks. Complexity 2019, 2019, 8194606.
  60. Yan, S.; Gu, Z.; Nguang, S.K.; Yang, F.; Zhang, L. Co-Design of Event-Triggered Scheme and H∞ Output Control for Markov Jump Systems Against Deception Attacks. IEEE Access 2020, 8, 106554–106563.
More
Information
Contributors MDPI registered users' name will be linked to their SciProfiles pages. To register with us, please refer to https://encyclopedia.pub/register : ,
View Times: 1.2K
Revisions: 5 times (View History)
Update Date: 31 Oct 2022
1000/1000