Silicon Nitride and Hydrogenated Silicon Nitride Thin Films: History
Please note this is an old version of this entry, which may differ significantly from the current revision.
Contributor:

Silicon nitride (SiNx) and hydrogenated silicon nitride (SiNx:H) thin films enjoy widespread scientific interest across multiple application fields. Exceptional combination of optical, mechanical, and thermal properties allows for their utilization in several industries, from solar and semiconductor to coated glass production. Historically different types of chemical vapour deposition (CVD), such as plasma enhanced (PE-CVD) or hot wire (HW-CVD) are the most common deposition methods, while physical vapour deposition (PVD), primarily sputtering is also widely used. Besides these fabrication methods, atomic layer deposition (ALD) is an emerging technique due to its ability to control the deposition at atomic level and provide extremely thin SiNx layers. Application of these three deposition methods is compared while special attention is paid to the effect of fabrication method on the properties of SiNx thin films, in particular the optical, mechanical, and thermal properties.

  • SiNx thin films
  • chemical vapor deposition
  • radio frequency sputtering
  • atomic layer deposition

1. Introduction

Apart from the photovoltaic devices, which have enhanced transmittance in the visible wavelength range and increased reflectance in the far infrared range, SiNx layers are a suitable material for low-emissivity (LowE) glass coatings, which are utilized in architectural glazings to mitigate heat losses in architectural applications. In this case, multilayer stacks, which usually composed of dielectric/metal/dielectric layers, are deposited on the glass surface, where the role of the silver layer is to reflect IR radiation back to the inside of the building and the dielectric materials protect the silver as well as act as antireflection layers. SiNx thin films usually deposited by magnetron sputtering are often used as dielectric layers in such applications [15].

Stochiometric silicon nitride (Si 3N 4) exists in three different crystallographic structures, namely α, β, and γ phases [16]. While the most common α and β phases can be synthesized under normal pressure, the formation of the γ phase requires high pressure and temperature conditions [17]. Amorphous silicon nitride (a-SiNx) presents a wide range of layer properties that are a function of the structure and bonding configuration as well as of the composition of the film. Tailoring the refractive index of a-SiNx is feasible by controlling the N/Si ratio of the films [18,19,20].

Fabrication technologies of SiNx layers are generally divided into two main techniques, namely the chemical vapor deposition (CVD) technique and the physical vapor deposition (PVD) technique, which are based on the types of involved reactions during deposition. In the case of CVD methods, the material introduced to the deposition chamber in the gas phase is deposited as a result of chemical reactions on the substrate surface where a thin film is grown. Additionally, a common feature of PVD methods is that the material, which is initially typically in the solid phase, is transformed to the gas phase, after which the material then returns to a solid phase by creating a layer on the desired substrate. In the case of PVD techniques, sputtering is the method predominantly used, while for CVD technologies, several different processes, e.g., hot wire (HW-CVD) [21,22], expanded thermal plasma (ETP-CVD) [23], electron cyclotron resonance (ECR-CVD) [24,25,26,27], and both plasma enhanced (PE-CVD) [28,29,30] and remote plasma enhanced (RPE-CVD) [31], are applied for the deposition of silicon nitride thin films. Due to the demand for extraordinary thin SiNx layers with precisely controlled composition and layer properties, increasing scientific interest appeared for a subset of CVD, namely the atomic layer chemical vapor deposition (ALCVD) or atomic layer deposition (ALD). In these processes, the thin film is formed on the substrate by atomic layers using chemical reactions in the gas atmosphere. For the deposition of SiNx thin films that are thermal [32,33,34], plasma-assisted (PA), and plasma-enhanced (PE) [35,36,37,38], ALD are the method most often used. Considering the growing scientific interest ALD methods are receiving in recent years, we discuss them separately from other CVD methods.

2. Physical Vapor Deposition

In the case of SiNx deposition, sputtering is the most common technique in which the solid state material (which is called the target in this process) is brought to the vapor phase by means of bombarding the material with electrically charged particles, causing atoms and groups of atoms to escape from its surface. The advantage of sputtering in the SiNx deposition process is the ability to fabricate hydrogen-free layers due to the lack of hydrogen-containing precursor gases. Additionally, this technique also allows for the fabrication of hydrogenated silicon nitride (SiNx:H) films by introducing hydrogen as an additional process gas. It was proven in one of our previous works [74] that the hydrogen incorporation into RF sputtered SiNx layers has a significant effect on the layer porosity. As shown in Figure 3, high-angle annular dark field scanning transmission electron microscopy (HAADF STEM) confirmed denser SiNx films for hydrogen-free sputtering than for the hydrogenated sputtering process, which resulted in a porous structure of the thin films with homogenously distributed nanometer-scale porosities.

Figure 3. HAADF-STEM images of a-SiNx layers: (a) hydrogen-free a-SiNx layer and (b) a-SiNx:H.

In magneto-optical (MO) recording applications, amorphous SiNx layers are used as protecting dielectric films for amorphous rare earth-transition metal (RE-TM) coatings, which are the functional layers of the MO medium. In such an application, the process wherein the device writes is a thermal writing process in which thermal cycles are appended to MO disks. As a result of the thermal expansion coefficient difference between the layers and substrates, thermal stress could appear within the thermal cycle. Lai et al. [85] characterized the thermal stress of SiNx films at temperatures varying between 25 and 400 °C. During the heating processes, the stress was almost constant, while after the second thermal cycle, the residual stress switched from compressive (~−780 MPa) to tensile (~1050 MPa).

During heat treatment, a part of the silicon–hydrogen (Si–H) and nitrogen–hydrogen (N–H) bonds of the SiNx:H thin films broke. Consequently, molecular hydrogen was formed, which was then released either to the environment or towards the substrate, playing an important role in the densification of the layer as well as in the formation of its passivation behavior [31]. A similar surface deformation (surface blistering) was found by Jafari et al. [73] for PE-CVD SiNx: H thin films (presented in Section 2.5 ), wherein RF sputtered SiNx: H thin films at an even lower temperature (~65 °C). Figure 4 presents the scanning electron microscope (SEM) images of the a-SiNx:H layer surfaces prior to and after the heat treatment.

Figure 4. Hydrogen formation in RF-sputtered a-SiNx:H thin films. SEM image of a layer surface (a) before annealing and (b) after annealing at 65 °C. Schematic representation of the layer surface (c) before annealing and (d) after annealing.

In the case of the deposition of SiNx:H thin films by the introduction of molecular hydrogen to the chamber, control of the hydrogen pressure serves as an alternative technique for tuning the refractive index of the thin films. Mokkedem et al. [90] studied the correlation of the hydrogen gas pressure and the refractive index of DC magnetron sputtered SiNx:H layers. It was revealed that when the partial pressure of H 2 increased from 4.5 to 9 mPa, the refractive index showed a decreasing trend from 1.92 to 1.78. Considering that, in parallel, the increase of the nitrogen to silicon ([N]/[Si]) ratio from 1.03 to 1.22, as well as the increase of the hydrogen to silicon ([H]/[Si]) ratio from 1.47 to 1.65 were proved, the experienced variations of the refractive index should be attributed to the incorporation of H and N atoms into the layers. We experienced a similar correlation between the partial pressure of the hydrogen applied to the chamber and the refractive index at 550 nm of SiNx:H layers prepared by RF sputtering, as shown in Figure 5 [74].

Figure 5. Refractive index at 550 nm against H2 partial pressure.

3. ALD

The atomic layer deposition (ALD) is a subclass of CVD based on sequential gas-phase chemical processes. Since this method allows for low temperature deposition as well as for the control of the film thickness with precision in the atomic scale, it has attracted great scientific interest concerning SiNx layer fabrication in recent years. In this section, current research progress, the most important trends, and future prospects are summarized.

Thermal ALD relies on the heating of the deposition chamber and the substrate to drive the surface reaction kinetics; therefore, higher deposition temperature (typically above 450 °C) is required. In the majority of the related works, chlorosilanes as silicon-containing precursors and ammonia as a nitrogen source are applied [94,95,96,97,98,99]. Additionally, Morishita et al. [100] revealed that SiNx can be also deposited by thermal ALD from Si 2Cl 6 between temperatures of 525 and 650 °C. It should be also noted that we are not aware of any thermal ALD SiNx which was produced from non-chlorosilane-based precursors. Riedel et al. [98] investigated thermal ALD SiNx layers deposited at various substrate temperatures varying from 310 to 500 °C, utilizing octachlorotrisilane (OCTS, Si 3Cl 8) as an alternative Si-containing precursor gas and NH 3 as a N source. They found that the wet etch rates in diluted HF (100:1–0.49%) decreased versus the raising substrate temperature and thus increasing film density. Significant amounts of oxygen were also found, the quantity of which shows a decreasing trend with an increasing deposition temperature. In the absence of an oxygen source, we can assume that the layers were oxidizing because of the contact with the ambient air. Park et al. [97] also found that thermal ALD SiNx layers are non-stochiometric and can be simply oxidized by air exposures, leading to approximately 7–8 atomic % O content of the thin film.

In order to overwhelm the difficulties of the increased deposition temperature of thermal ALD methods and to meet the requirements of modern (e.g., interconnect and spacer) applications [93,100,101], plasma-enhanced ALD is utilized in several cases. This technique was proved to be an appropriate method to deposit silicon nitride at T < 400 °C by several researchers [102,103,104,105]. Furthermore, the enhanced reactivity of the plasma allows for the application of precursors which don’t contain halogen atoms [106,107,108,109]. Deposition temperature can be further decreased by remotely generated plasma, which is then transported to the chamber [110,111,112,113,114,115]. In Figure 6 , a schematic drawing of a PE-ALD reactor is presented.

Figure 6. Schematic drawing of a PE-ALD reactor.

In addition to the lower deposition temperature, this method is also advantageous in minimizing plasma-induced damages and surface nucleation time, as well as in avoiding undesirable gas-phase reactions. Several reports studied how the substrate temperature influences the PE ALD process. Andringa et al. [116] characterized the refractive index and the chemical composition of SiNx moisture permeation barrier layers fabricated by PE ALD with the SiH 2(NH t BU) 2 precursor and by nitrogen-fed plasma at different deposition temperatures. It was revealed that the refractive index (at 633 nm) was increased from 1.8 to 1.9, while the deposition temperature was raised from 80 to 200 °C. In contrast, carbon, oxygen, and hydrogen impurity levels showed decreasing trends against increasing deposition temperatures. In terms of porosity, they found that there were no open pores with diameters bigger than 0.3 nm independently from the deposition temperature. Jang et al. [108] studied the effect of temperature on SiNx deposition by PE-ALD from trisilylamine [TSA, (SiH 3) 3N] and NH 3 in the range of 250–350 °C. However, it was revealed that all films are near-stochiometric and the N/Si stochiometric ratio slightly increases (from 1.32 to 1.35) due to the increase of the deposition temperature (from 250 to 350 °C). Higher temperatures resulted in higher refractive indices as well as lower hydrogen contents. The effect of the temperature on the defect density was also proved since increased deposition temperatures led to enhanced trap densities, which should be attributed to the lower hydrogen content. The latter behavior allows for adjusting the defect densities to meet the requirements of charge trap flash memory applications by controlling the fabrication temperature. Another work [117] introduces low-temperature (250–300 °C) PE-ALD of SiNx, utilizing neopentasilane [NPS, (SiH 3) 4Si] with a direct N 2 plasma. The thin film deposition was compared to a more frequently used source gas, specifically trisilylamine [TSA, (SiH 3) 3N], as a reference. In terms of the growth behavior and N 2 plasma saturation, no significant differences were found. However, higher growth rates were observed for NPS. It was revealed that increased N 2 plasma exposure time caused a decrease in the refractive index for both precursors. Koehler et al. [118] investigated SiNx thin films deposited at higher temperatures (400–500 °C) by ALD for spacer and gate encapsulation applications. They found that the SiNx film quality and growth conditions have important roles in shaping the performance of high-k metal gate technology.

4. Conclusions

A combination of advantageous layer properties establishes SiNx thin films a promising candidate for several application fields. In this work, an overview of the latest published works for SiNx thin films was presented with a focus on the applications and obtainable layer properties by applying different deposition methods. The latest achievements of CVD and PVD depositions technologies were highlighted with a comparison of their characteristic mechanical, thermal, and optical properties. In view of the growing demand for ultrathin SiNx layers with precise control of the composition, a group of CVD methods (ALCVD) was reviewed in a separate section.

In terms of deposition temperature, a trend towards lower processing temperatures was observed due to efforts to minimize the damage of thermally instable substrates, such as IC applications or polymer materials of OLED devices. Another trend of the development of SiNx thin films concerned targeting to achieve better mechanical properties, driven by hard coating applications under challenging environmental conditions.

Obviously, SiNx layer properties are affected by several parameters such as the fabrication method, precursor gas chemistry, type of power supply used for the plasma generation, and the substrate temperature. However, the exact correlation between the process parameters and the layer properties could depend on the actual deposition equipment. The results reviewed in this paper could act as a guideline for the development and further tuning of SiNx layer properties to meet the expectations of certain applications.

This entry is adapted from the peer-reviewed paper 10.3390/ma14195658

This entry is offline, you can click here to edit this entry!
Video Production Service