GeSn Alloys for Photonic and Electronic Applications: History
Please note this is an old version of this entry, which may differ significantly from the current revision.
Contributor:

GeSn materials have attracted considerable attention for their tunable band structures and high carrier mobilities, which serve well for future photonic and electronic applications.

  • GeSn growth
  • selective etch
  • strain modulation
  • RPCVD

1. Introduction

GeSn has aroused extensive attention as a result of its direct bandgap properties [1], compatibility with Si CMOS processes [2][3][4][5][6], higher absorption coefficients at short-wavelength infrared (SWIR) windows [7], and higher carrier mobilities compared with Si and Ge, etc. [3]. These characteristics show that GeSn materials will be promising for both optoelectronics and high-speed electronics for silicon photonic-electronic platforms in the near future. It is also expected that GeSn could open applications for thermoelectric materials in the near future [8][9]. There are several challenges in growing high quality GeSn: (I) the lattice mismatch between Ge and Sn is 14.7% and is even higher at 17% between Sn and Si; (II) incorporation of Sn in Ge is difficult due to the low solubility (<1%) of Sn in Ge and the instability of α-Sn above 13 °C; and (III) Sn precipitation and Sn agglomeration occur during growth. Therefore, growth tools, such as molecular beam epitaxy (MBE) [10][11][12], reduced pressure chemical vapor deposition (RPCVD) [13][14][15][16][17][18][19][20][21][22], ultra vacuum chemical vapor deposition (UHVCVD) [23], physical vapor deposition (PVD) [24], and sputtering technique [25][26] have been proposed to grow GeSn at low temperatures. Compared to MBE and PVD, CVD has the advantages of lower costs, higher growth rates, larger wafer sizes, and mass production potential which can easily be transferred to the Si-based microelectronic and photonic industry. As early as 2001, Kouvetakis’s group from Arizona State University (ASU) grew GeSn and GeSnSi via reactions of Si–Ge hydrides and SnD4 in a UHVCVD chamber, but SnD4 molecules are very unstable [27][28]. Then, in 2011, researchers from IMEC [14] and KTH proposed the growth of GeSn using commercially available reaction precursors (SnCl4/Ge2H6) in a production RPCVD tool [18]. Since then, many research groups reported the growth of GeSn using precursor combinations of SnCl4/Ge2H6 and SnCl4/GeH4 [13][14][15][16][17][18][19][20][21][22][23]. Moreover, GeSnSiC alloys were also grown using Si2H6, Ge2H6, SnCl4, and SiCH6, precursors which are also important for photonic applications [19][20]. From the mass production perspective, GeH4 is preferred to Ge2H6, owing to its wider usage and lower cost. In order to improve material properties, the effects of growth temperature, growth pressure, carrier gas, strain relaxation, and doping on GeSn growth were systematically investigated. Experimental results indicate that growth temperature, growth pressure, and strain relaxation were major factors towards achieving high Sn composition and high quality GeSn [29][30].

2. Growth Kinetics

The fundamental problem with growing GeSn layers is segregation of Sn atoms. There are two main factors which govern Sn segregation: chemical and size effects. The first effect depends on the heats of sublimation of Sn (65 kcal/mole) and Ge (89 kcal/mole), which in fact determine whether Sn-to-Sn or Sn-to-Ge bonding is favored. The size effect originates from the size difference between Sn and Ge atoms. Therefore, researchers may conclude that high incorporation of Sn in Ge is a real challenge as a result of both aforementioned effects.
Prior to GeSn growth, a Ge buffer with a thickness of at least 1000 nm is necessary to be deposited for high quality epitaxy. The Ge buffer layer contains two layers which are grown at a temperature of 450 °C for the low layer, and at 650 °C for the cap layer. The first layer is highly defective; meanwhile, the second layer has significantly higher epitaxial quality. An annealing treatment at 850 °C ensures the defect density is minimized to a level of 107 cm−2. Since the main goal is to incorporate high Sn content in Ge, the quality of the buffer layer is very important. Figure 1 illustrates the series of grown samples. The amount of SnCl4 introduced into the epi chamber was increased in three steps of 0.8, 1, and 1.5 g/h. In these samples, Sn segregation appears as Sn dots on the surface of the GeSn layer. The sizes of the Sn dots depend on the thickness of the GeSn layer, and they become larger for thicker GeSn layers. Therefore, it is important in the characterization of GeSn layers that the presence of Sn dots not be misjudged as surface roughness, especially when they are small for thin GeSn layers. Since Sn atoms are large, and since the heat of sublimation for Sn is less than that for Ge, segregation of Sn in Ge buffer occurs from both chemical and size effects.
Figure 1. Growth parameters of GeSn deposition and its related Sn contents.
In general, the growth of Si-based materials using CVD follows two regimes: kinetic and mass transport. In kinetic mode, the growth rate is temperature-dependent, whereas in mass transport mode the growth rate is dependent on reactant gas partial pressures. GeSn growth occurs at 280–310 °C; then, it is expected that epitaxy follows the kinetic mode. Sn segregation depends strongly on the introduced Sn content; meanwhile, Figure 1 illustrates that Sn segregation is decreased by increasing the Ge partial pressure, and diminishes when Ge partial pressure reaches a critical value. Surprisingly, the growth rate is also increased by increasing the Ge partial pressure. By applying high Ge partial pressure, the number of Ge atoms is increased, resulting in a dramatic change to the kinetics of atoms where lateral diffusion of atoms becomes limited. Researchers believe Sn segregation is decreased as a result of the high growth rate and limited lateral diffusion of Sn atoms. This condition of growth could be called virtual mass transport mode at low temperature growth. Reaching this point is the key issue for significant corporation of Sn into a Ge crystal matrix to occur. In the next step, by introducing more Sn atoms, a new condition is reached and it becomes necessary to deal with the excess of Sn atoms during GeSn growth; therefore, Ge atoms will be needed to decrease segregation. By stepwise introduction of more Sn atoms, the Ge partial pressure must be increased with each new step. In Figure 1, three points reflect the optimized growth parameters. As a result of this stepwise approach, 18% of Sn could be incorporated in Ge.
In order to examine the quality of the GeSn layers, high-resolution X-ray diffraction (HRXRD) including reciprocal lattice maps were performed, as shown in Figure 2. The rocking curves illustrate thickness fringes, indicating high interfacial quality. Layer quality is often estimated using the full-width half-maximum (FWHM) method, but in these samples the strain relaxation was not the same due to different layer thicknesses. Therefore, HRRLM was performed, as shown in Figure 2b, to determine strain in both vertical and lateral growth directions. In this way, the strain relaxation could be measured and discussed. HRRLM was performed around (2 2 4) reflection, which is most sensitive to the defects. This is due to the low incident angle of the X-ray beam at 8.7° which makes a long propagation of the X-ray beam along the GeSn layer, and easily reveals the defects [31]. The positions of GeSn and Ge peaks in the reciprocal lattice were determined, then the mismatch parameters were calculated. In the HRRLMs, the shape of the GeSn peak and the diffused scattering provide information about the presence of Sn dots within the GeSn layer. In these maps, the GeSn peak is aligned with the Ge peak along the (001) direction showing minor strain relaxation. The GeSn peak shifts out but is still along the (001) direction, illustrating the increase in strain in the epilayers.
Figure 2. X-ray analysis of GeSn/Ge layers (a) rocking curves and (b) 224 HRRLMs.

3. Strain Modulation of GeSnOI by Vertical Etching

The strain modulation of GeSnOI was studied by stepwise etching the Ge buffer. Figure 3 shows HRXRD results of as-grown and after 20 s, 100 s, and 120 s etching times of GeSnOI. In these experiments, the intensity of the Ge peak decreases gradually with increases in etching time, and later appears as Ge is completely etched away.
Figure 3. (a) XRD of GeSnOI as-grown (black line) and after wet etchings of 20 s (orange line), 100 s (blue line), and 120 s (red line); (b) PL at room temperature of GeSn as-grown (red line), GeSnOI (black line), and after wet etchings of 20 s (blue line), 100 s (amaranth line), and 120 s (orange line); and (c) PL of GeSnOI after wet etching of 20 s at temperatures of 80 K (orange line), 193 K (blue line), and room temperature (red line).
Figure 3b shows the GeSn peak has a blue-shift after the bonding process. It is believed that this shift is a result of the annealing treatment (300 °C 1 h) which could cause a number of Sn atoms to be pushed out from substitutional sites, resulting in strain reduction. This explanation originates from the fact that researchers observed no defects in HRTEM results. Meanwhile, the etching of the Ge buffer creates a red-shift, as expected. The red-shift is caused by stress release and bandgap alignment in GeSn. Table 1 and Figure 3c demonstrate more PL investigation on the GeSnOI sample with a 20-s etching of Ge buffer at different temperatures. The PL peak’s FWHM at room temperature (shown as Table 1) is decreased at low temperature analysis, which is consistent with freezing of imperfections in the epilayer.
Table 1. PL data for the GeSn peak at 80 K and at room temperature (RT) after Ge vertical wet etching.
Sample FWHM-80 K/nm Peak of GeSn-80 K/nm FWHM-RT/nm Peak of GeSn-RT/nm
GeSn Before bonding -- -- 251 2166
GeSnOI 129 2040 134 2039
20 s 60 2286 284 2270
120 s 26 2279 345 2232
In order to find out the strain distribution in the above sample GeSnOI, NBD analysis was performed, as shown in Figure 4a–c, and more details about estimated strain values are demonstrated in Table 2. The strain is distributed at the top level in the middle of the GeSn layer and more relaxation occurs in the vertical direction close to the Ge buffer. No defects are created in GeSn layers, and by removing more Ge buffer the strain is constantly decreased.
Figure 4. NBD in different GeSn regions of sample wet etched for 20 s; the reference is bulk Ge with lattice constant 5.657 Å. (a) Up; (b) middle; (c) down.
Table 2. NBD results about GeSnOI strain before etching, and after wet etching for 20 s and 120 s.
Sample Bulk Strain Strain(002) Strain//(220)
GeSnOI 2.79% 2.11% 0.47%
20 s 1.90% 1.60 % 0.17 %
120 s 1.19% 2.24% −0.32%

This entry is adapted from the peer-reviewed paper 10.3390/nano12060981

References

  1. Moontragoon, P.; Ikonić, Z.; Harrison, P. Band structure calculations of Si–Ge–Sn alloys: Achieving direct band gap materials. Semicond. Sci. Technol. 2007, 22, 742–748.
  2. Radamson, H.H. 3—Strain Engineering. In CMOS Past, Present and Future; Radamson, H.H., Luo, J., Simoen, E., Zhao, C., Eds.; Woodhead Publishing: Sawston, UK, 2018; Volume 3, pp. 41–67.
  3. Lei, D.; Lee, K.H.; Bao, S.; Wang, W.; Masudy-Panah, S.; Yadav, S.; Kumar, A.; Dong, Y.; Kang, Y.; Xu, S.; et al. The first GeSn FinFET on a novel GeSnOI substrate achieving lowest S of 79 mV/decade and record high Gm, int of 807 μS/μm for GeSn P-FETs. In Proceedings of the 2017 Symposium on VLSI Technology, Kyoto, Japan, 5–8 June 2017.
  4. Radamson, H.H.; Zhu, H.L.; Wu, Z.H.; He, X.B.; Lin, H.X.; Liu, J.B.; Xiang, J.J.; Kong, Z.Z.; Wang, G.L. State of the Art and Future Perspectives in Advanced CMOS Technology. Nanomaterials 2020, 10, 1555.
  5. Koliopoulou, S.; Dimitrakis, P.; Goustouridis, D.; Normand, P.; Pearson, C.; Petty, M.C.; Radamson, H.; Tsoukalas, D. Metal nano-floating gate memory devices fabricated at low temperature. Microelectron. Eng. 2006, 83, 1563–1566.
  6. Liu, L.; Liang, R.; Wang, G.; Radamson, H.H.; Wang, J.; Xu, J. Investigation on direct-gap GeSn alloys for high-performance tunneling field-effect transistor applications. In Proceedings of the 2017 IEEE Electron Devices Technology and Manufacturing Conference (EDTM), Toyama, Japan, 28 February–2 March 2017.
  7. Miao, Y.; Wang, G.; Kong, Z.; Xu, B.; Zhao, X.; Luo, X.; Lin, H.; Dong, Y.; Lu, B.; Dong, L.; et al. Review of Si-Based GeSn CVD Growth and Optoelectronic Applications. Nanomaterials 2021, 11, 2556.
  8. Li, Y.; Wang, G.; Akbari-Saatlu, M.; Procek, M.; Radamson, H.H. Si and SiGe Nanowire for Micro-Thermoelectric Generator: A Review of the Current State of the Art. Front. Mater. 2021, 8, 3389.
  9. Noroozi, M.; Hamawandi, B.; Toprak, M.S.; Radamson, H.H. Fabrication and thermoelectric characterization of GeSn nanowires. In Proceedings of the 2014 15th International Conference on Ultimate Integration on Silicon (ULIS), Stockholm, Sweden, 7–9 April 2014.
  10. Gurdal, O.; Desjardins, P.; Carlsson, J.R.A.; Taylor, N.; Radamson, H.H.; Sundgren, J.E.; Greene, J.E. Low-temperature growth and critical epitaxial thicknesses of fully strained metastable Ge1−xSnx (x ≤ 0.26) alloys on Ge (001) 2 × 1. J. Appl. Phys. 1998, 83, 162–170.
  11. Ni, W.X.; Ekberg, J.O.; Joelsson, K.B.; Radamson, H.H.; Henry, A.; Shen, G.D.; Hansson, G.V. A silicon molecular beam epitaxy system dedicated to device-oriented material research. J. Cryst. Growth 1995, 157, 285–294.
  12. Rathore, J.; Nanwani, A.; Mukherjee, S.; Das, S.; Moutanabbir, O.; Mahapatra, S. Composition uniformity and large degree of strain relaxation in MBE-grown thick GeSn epitaxial layers, containing 16% Sn. J. Phys. D Appl. Phys. 2021, 54, 185105.
  13. Al-Kabi, S.; Ghetmiri, S.A.; Margetis, J.; Du, W.; Mosleh, A.; Dou, W.; Sun, G.; Soref, R.A.; Tolle, J.; Li, B.; et al. Study of High-Quality GeSn Alloys Grown by Chemical Vapor Deposition towards Mid-Infrared Applications. J. Electron. Mater. 2016, 45, 6251–6257.
  14. Vincent, B.; Gencarelli, F.; Bender, H.; Merckling, C.; Douhard, B.; Petersen, D.H.; Hansen, O.; Henrichsen, H.; Meersschaut, J.; Caymax, M.; et al. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition. Appl. Phys. Lett. 2011, 99, 152103.
  15. Margetis, J.; Mosleh, A.; Al-Kabi, S.; Ghetmiri, S.A.; Du, W.; Dou, W.; Benamara, M.; Li, B.; Mortazavi, M.; Naseem, H.A.; et al. Study of low-defect and strain-relaxed GeSn growth via reduced pressure CVD in H2 and N2 carrier gas. J. Cryst. Growth 2017, 463, 128–133.
  16. Wirths, S.; Buca, D.; Mussler, G.; Tiedemann, A.T.; Holländer, B.; Bernardy, P.; Stoica, T.; Grützmacher, D.; Mantl, S. Reduced Pressure CVD Growth of Ge and Ge1−xSnx Alloys. ECS J. Solid State Sci. Technol. 2013, 2, N99–N102.
  17. Margetis, J.; Ghetmiri, S.A.; Du, W.; Conley, B.R.; Mosleh, A.; Soref, R.; Yu, S.; Tolle, J. Growth and characterization of epitaxial Ge1-XSnx alloys and heterostructures using a commercial CVD system. ECS Trans. 2014, 64, 711.
  18. Radamson, H.H.; Noroozi, M.; Jamshidi, A.; Thompson, P.E.; Östling, M. Strain engineering in GeSnSi materials. ECS Trans. 2013, 50, 527.
  19. Jamshidi, A.; Noroozi, M.; Moeen, M.; Hallén, A.; Hamawandi, B.; Lu, J.; Hultman, L.; Östling, M.; Radamson, H. Growth of GeSnSiC layers for photonic applications. Surf. Coat. Technol. 2013, 230, 106–110.
  20. Noroozi, M.; Abedin, A.; Moeen, M.; Östling, M.; Radamson, H.H. CVD growth of GeSnSiC alloys using disilane, digermane, Tin Tetrachloride and methylsilane. ECS Trans. 2014, 64, 703.
  21. Margetis, J.; Mosleh, A.; Ghetmiri, S.A.; Al-Kabi, S.; Dou, W.; Du, W.; Bhargava, N.; Yu, S.-Q.; Profijt, H.; Kohen, D.; et al. Fundamentals of Ge1−xSnx and SiyGe1−x-ySnx RPCVD epitaxy. Mater. Sci. Semicond. Processing 2017, 70, 38–43.
  22. Bertrand, M.; Casiez, L.; Quintero, A.; Chrétien, J.; Pauc, N.; Thai, Q.M.; Khazaka, R.; Rodriguez, P.; Hartmann, J.M.; Chelnokov, A.; et al. Reboud, Vertical GeSn electro-absorption modulators grown on Silicon for the mid-infrared. In 2020 IEEE Photonics Conference (IPC); IEEE: Vancouver, BC, Canada, 2020.
  23. Grant, P.C.; Dou, W.; Alharthi, B.; Grant, J.M.; Tran, H.; Abernathy, G.; Mosleh, A.; Du, W.; Li, B.; Mortazavi, M.; et al. UHV-CVD growth of high quality GeSn using SnCl4: From material growth development to prototype devices. Opt. Mater. Express 2019, 9, 3277–3291.
  24. Dou, W.; Alharthi, B.; Grant, P.C.; Grant, J.M.; Mosleh, A.; Tran, H.; Du, W.; Mortazavi, M.; Li, B.; Naseem, H.; et al. Crystalline GeSn growth by plasma enhanced chemical vapor deposition. Opt. Mater. Express 2018, 8, 3220–3229.
  25. Yang, J.; Hu, H.; Miao, Y.; Dong, L.; Wang, B.; Wang, W.; Xuan, R. High-quality GeSn Layer with Sn Composition up to 7% Grown by Low-temperature Magnetron Sputtering for Optoelectronic Application. Materials 2019, 12, 2662.
  26. Zheng, J.; Liu, Z.; Zhang, Y.; Zuo, Y.; Li, C.; Xue, C.; Cheng, B.; Wang, Q. Growth of high-Sn content (28%) GeSn alloy films by sputtering epitaxy. J. Cryst. Growth 2018, 492, 29–34.
  27. Tolle, J.; Roucka, R.; D’Costa, V.; Menendez, J.; Chizmeshya, A.; Kouvetakis, J. Sn-based Group-IV Semiconductors on Si: New Infrared Materials and New Templates for Mismatched Epitaxy. MRS Online Proc. Lib. 2005, 891, 1–6.
  28. Kouvetakis, J.; Chizmeshya, A. New classes of Si-based photonic materials and device architectures via designer molecular routes. J. Mater. Chem. 2007, 17, 1649–1655.
  29. Aubin, J.; Hartmann, J.M.; Gassenq, A.; Milord, L.; Pauc, N.; Reboud, V.; Calvo, V. Impact of thickness on the structural properties of high tin content GeSn layers. J. Cryst. Growth 2017, 473, 20–27.
  30. Loo, R.; Shimura, Y.; Ike, S.; Vohra, A.; Stoica, T.; Stange, D.; Buca, D.; Kohen, D.; Margetis, J.; Tolle, J. Epitaxial GeSn: Impact of process conditions on material quality. Semicond. Sci. Technol. 2018, 33, 114010.
  31. Radamson, H.H.; Hållstedt, J. Application of high-resolution x-ray diffraction for detecting defects in SiGe(C) materials. J. Phys. Condens. Matter 2005, 17, S2315–S2322.
More
This entry is offline, you can click here to edit this entry!