Liquid Phase Infiltration of Block Copolymers: Comparison
Please note this is a comparison between Version 3 by Peter Tang and Version 2 by Federico Ferrarese Lupi.

Novel materials with defined composition and structures at the nanoscale are increasingly desired in several research fields spanning a wide range of applications. Self-assembling materials such as block copolymers (BCPs), in combination with liquid phase infiltration (LPI) processes, represent an ideal strategy for the synthesis of inorganic materials into even more complex and functional features.

  • block copolymers
  • BCPs
  • self-assembly
  • liquid phase infiltration
  • LPI
  • metal loading

1. Introduction

The development of new strategies for the fabrication of nanostructured materials with tailored properties has been of intense interest among the scientific community in recent years. Novel nanomaterials with great control over their geometrical and functional features are the focus of research nowadays in the continuous search for device shrinkage with boosted performances. Although conventional lithographic techniques, i.e., optical and electron lithography, represent the main lithographic tools in the semiconductor industry, key challenges are emerging in the delivery of increasingly powerful and smaller devices due to the high processing cost and complexity. To this end, self-assembling materials, such as block copolymers (BCPs), are of potential use for nanopatterning thanks to their ability to provide a wide range of structures at the nanoscale down below the optical lithography resolution limit [1]. BCPs consist of two or more different and incompatible homopolymer chains linked together by a covalent bond. Under specific annealing conditions, the amphiphilic nature of the BCPs is responsible for microphase separation, that is, the so-called self-assembly. This generates the in-parallel self-registration of periodic structures at the nanoscale in the typical range of 10-100 nm. These materials offer a great tunability in terms of dimensions, morphologies and pattern orientation that can be manipulated in the synthetic phase by the molecular weight, volume fraction and substrate functionalisation [2,3][2][3]. So far, self-assembled BCPs have been exploited for several applications spanning nanolithography [4], electronics [5], photonics [6], energy [7,8][7][8] and membranes [9].
The ever-increasing versatility of BCPs is given by the presence of functional groups carried by their polymeric chains. The functional moieties act as reactive centres for the nucleation and growth of inorganic materials upon selective infiltration of specific precursors, providing ideal templates for the synthesis of hybrid organic-inorganic or all-inorganic materials [10]. Vapour phase infiltration techniques, such as sequential infiltration synthesis (SIS), offer exquisite control over the fabrication of nanostructured inorganic films [11]. A lot of effort has been dedicated to the extension of the library of materials that can be infiltrated by SIS, however, primarily leading to the synthesis of metal oxides [12] with a few exceptions of metals [13]. SIS, along with other vapour phase methodologies, i.e., vapour phase infiltration (VPI), micro-dose infiltration synthesis (MDIS) and multipulse vapour infiltration (MPI), shares the atomic layer deposition (ALD) system. The sequential exposure of self-assembled BCPs to specific metalorganic precursors and oxidising agents (H2O, H2O2, O3) determines the selective growth of metal oxides inside the constituent block, which carries the appropriate chemical functional group. Thus, after the selective removal of the organic matrix, the initial template is replicated [14]. However, due to the numerous processing variables involved, such as processing temperature, pressure, pre-treatments, precursor and oxidising agent exposure times and polymer–precursor reactivity, SIS suffers from a high complexity in the choice of the experimental design parameters as well as the requirement for expensive equipment and hazardous metalorganic precursors. On the other hand, liquid phase infiltration (LPI) processes have emerged as an overall much simpler fabrication avenue for the selective growth of several inorganic materials in self-assembled BCPs, requiring metal salt chemicals soluble in H2O or ethanol and standard lab equipment [15].

2. LPI Processing and Mechanism

LPI of BCPs is a wet chemical process consisting of selective binding via complexation or electrostatic interaction of a metal salt precursor and a reactive functional group carried by one of the constituent blocks. The following polymer removal and simultaneous reduction or oxidation of the metal precursor, whether by O2 plasma, thermal degradation or UV/ozone treatment, reveals a nanostructured inorganic material (typically metals or metal oxides) whose morphology replicates that of the BCP template [34][16]. The selective incorporation of the precursor could be achieved either prior to the BCP self-assembly process, by mixing the BCP and metal salt solution [28][17], or afterwards, by soaking the self-assembled nanostructures into the precursor-containing solution [17][18]. Alternatively, the metal salt solution can be directly spin-coated on top of the polymeric film [32][19]. The distinct chemical functionalities of the constituent blocks of the BCP template are the key factors leading to the selective binding of the precursors. The seminal work by Chai et al. [34][16] reported the fabrication of continuous metallic nanowires using horizontal cylindrical PS-b-P2VP by soaking the self-assembled BCPs into acidic solutions of Au, Pd and Pt metal salts. The selective binding of the precursors in the P2VP block is related to the Brønsted base character of the pyridine moiety. In mild acidic environments, pyridine-containing polymers such as P2VP can be easily protonated and therefore they can bind negatively charged anionic metal complexes ([AuCl4], [PdCl4], [PtCl4]) derived by the metal salt precursor, by electrostatic interaction. Due to the lack of any positively charged functional group in PS, the anionic complexes selectively locate inside the P2VP cylindrical domains only. The subsequent brief exposure to O2 plasma simultaneously removes the polymer template and reduces the metal salt to Au, Pd and Pt nanostructures that replicate the BCP morphology. In contrast to vertically aligned PS-b-P2VP cylinders, horizontally oriented cylinders do not have direct access to the metal salt precursor solution due to the PS hydrophobic matrix surrounding the P2VP nanodomains. Therefore, the acid concentration (i.e., HF and HCl) plays a key role in guaranteeing the formation of continuous metallic nanowires. The effect of acidic conditions on the LPI is related to the surface reconstruction of the BCP nanostructures. The repulsive interactions between the protonated pyridine groups lead to the selective swelling of the P2VP cylinders perforating the PS overlay, hence fully exposing the reactive nanodomains to the salt solution. The surface reconstruction has been demonstrated to be critical also for the successful infiltration of vertically aligned PS-b-P4VP and PS-b-PEO cylinders. Although being the P4VP and PEO nanodomains in direct contact with the precursor solution, an ‘activation step’ by means of a preferential solvent (ethanol or butanol) induces a selective swelling of the polar domains. This process slowly modifies the surface without changing the structural arrangement and dimensions of the BCP but yields a nanoporous film that facilitates the sorption of metal cations (Fe3+, Cu2+, Ni2+, Cr3+) via coordination with the P4VP and PEO domains [16,35,36,37][20][21][22][23].

3. Metal Salt Diffusion and Reduction

The successful replication of BCP templates into high-quality inorganic materials depends on the precursor infiltration and conversion processes. The control over the different parameters involved in precursor diffusion, precursor-BCP selective binding and precursor reduction or oxidation is crucial to the design of materials with tailored compositions and added functionalities. For instance, as reported by Subramanian et al. [17][18], LPI at mildly elevated temperatures (40–80 °C) considerably improves the infiltration kinetics of the metal salt precursor into the BCP nanodomain. LPI at higher temperatures enhances up to six the molar ratio of infiltrated Pt per V2P monomeric unit for an overall increased metal uptake when compared to room temperature LPI. The control over the quantity of material infiltrated inside the BCP domains is reflected in a better quality of the inorganic pattern thanks to a higher fidelity to the starting BCP template, also enabling the tuning of the optical properties of the resulting material. The control of the diffusion of metal salt precursors along the thickness of thick BCP templates is a key requirement for the achievement of high aspect-ratio or complex 3D metallic nanostructures. High aspect-ratio 3D nanoporous Pt structures with enhanced catalytic activity for hydrogen evolution reaction were fabricated by LPI of thick (120 nm) lamellar BCP templates with two pyridine-containing blocks as P2VP-b-P4VP. Although the constituent blocks P2VP and P4VP are both capable of interacting with metal salt precursors, P4VP shows a stronger counterion binding when compared to P2VP [38][24]. Due to the difference in the binding power of the two blocks, the metal salt precursors are preferentially allocated in the P4VP domains. Therefore, after the polymer removal and precursor reduction, the metal in the P4VP domains becomes continuous whereas the metal in the P2VP is not, resulting in a 3D nanoporous structure [39][25]. The high metal salt precursor diffusion, along with proper acid concentrations, were recently exploited to reveal complex multilevel morphologies of thick (200 nm) cylinder-forming PS-b-P2VP, where higher acid concentration led to an increase in the cylinder diameter thanks to the larger uptake of metal ions [23][26].

4. Multicomponent Materials and Complex Structures

As mentioned in the introduction paragraph, the search for novel materials with added functionalities has driven the research towards the extension of LPI to a wide number of metals and to the development of sophisticated synthetic routes for the infiltration of more complex materials. LPI of BCPs allows for great control over the nucleation and growth of different multicomponent materials in which the structural/compositional distribution can be arranged by the simultaneous or sequential infiltration of different metal salt precursors. A paradigmatic example is represented by the fabrication of segmented multicomponent nanowires by exploiting the reversible complexation of metal ions with protonated P2VP of PS-b-P2VP in acidic conditions, as reported by Mun et al. [40][27]. Here, after a first infiltration of [PtCl4]− into the P2VP domains, the immersion of the infiltrated polymer into a concentrated acidic solution allowed the reversal of the complexation of metal anions, leaving the P2VP available for a subsequent infiltration with another metal anion complex as [AuCl4]−. The authors extended this approach by further implementing optical lithography on top of the self-assembled nanostructures. The optical mask drives the selective replacement of a metal anion in a specific spatial location dictated by the optical pattern. The final O2 plasma treatment reveals continuous metallic nanowires with different metallic components. The same authors unveiled a generalised route to synthesise intermetallic nanoalloy arrays using cylindrical PS-b-P2VP as a starting template [24][28]. Various bimetallic (FePt, CoPt, PdAu) and trimetallic (CoPdPt) alloys were obtained by the simultaneous loading of multiple ionic metal precursors on the self-assembled BCPs, offering precise control over size, composition and single-crystalline intermetallic atomic structures of the nanoalloys. On the contrary, for the synthesis of alloys such as AuAg, a specific order in which the metal salt precursors (HAuCl4 and AgNO3) are added is required to avoid undesired precipitations of highly insoluble AgCl [26][29]. Core-shell Au/Ag nanoparticles and composites, however, can be synthesised by exploiting the different chemical affinities of the P2VP and PEO blocks towards HAuCl4 and AgNO3 in micellar triblock PS-b-P2VP-b-PEO or in PS-b-P2VP/PS-b-PEO blends. The positively charged P2VP in acidic conditions preferentially interacts with Au salt anions while repelling Ag+ ions that are mainly localised inside the PEO nanodomain [25,35][21][30]. The ongoing research extended the BCP liquid phase infiltration to the synthesis of new classes of materials such as metal halide perovskites [21][31]. In the mixture of MABr (methylammonium bromide) and PbBr2 and PS-b-P2VP, the precursor ions preferentially coordinate with pyridine moieties in the P2VP blocks via Lewis acid–base interactions. Nanoimprinting lithography by means of a pre-patterned PDMS mould is used to simultaneously crystallise methylammonium lead bromide (MAPbBr3) perovskite and microphase separate the loaded PS-b-P2VP leading to a hierarchically ordered MAPbBr3 embedded in the BCP template. The great versatility of LPI for the realisation of complex nanoarchitectures is demonstrated by its successful integration with the most recent BCP nanopatterning techniques [33,41,42,43,44][32][33][34][35][36]. The nanodomain swelling of the hydrophilic BCP domains is a general route to the morphology tuning of the resulting inorganic nanostructures. As an example, starting from cylindrical PS-b-P2VP, a different morphology evolution can be induced on the BCP nanopattern during the solvent annealing, the metal loading and polymer removal step, generating metallic nanopatterns with diverse shapes such as hexagonal nanorings, hexagonal nanomesh and double lines [22][37].

5. Applications

The inclusion of metallic components via the liquid phase infiltration process in block copolymers nanoscale templates has created many opportunities for the realisation of complex metallic nanostructures, thus expanding the applicability of BCPs lithography itself. LPI offers the possibility to accurately replicate the nanoscopic intricate templates of different BCPs morphologies overtaking traditional physical vapour deposition processes in the realisation of composite nanomaterials, where the lift-off step is posing limitations at sub-20 nm resolution.

5.1. Photonics and Plasmonics

In the realm of photonics and plasmon-enhanced molecular spectroscopies, one of the research focuses in the last decades has been the realisation of metallic nanostructures with controlled dimensional features and optical properties, e.g., localised surface plasmon resonances (LSPR) frequency and refractive index, to boost the applicability of these methods as well as to study fundamental phenomena not yet explored. The specific requirements, for standardisation, include reproducible methods and reliable and rationally designed substrates with tailored optical and plasmonic properties [45][38]. In this view, BCPs have been proven to offer excellent lithographic templates with ordered nanoscale morphologies for patterned surfaces extended over square centimetre areas [21][31]. The reproducibility of the self-assembly process with controllable and repeatable dimensionality bound to the polymers’ chemical properties (e.g., size and pitch related to molecular weight) guarantees reliable modelling of the optical near and far field properties of the metallic replicas. In this framework, the LPI of metals inside BCP templates has already been explored since the late nineties for the realisation of 1D nanoparticles, 2D planar systems and 3D structures with low aspect ratios. Some early applications of the process, sometimes referred to as in situ reduction of metal ions in polymeric domains, have been applied to the realisation of 1D plasmonic nanocomposites, i.e., metallic nanoparticles embedded in a dielectric matrix. BCPs micellar domains have been infiltrated to tune the critical dimension of the plasmonic nanocomposites down to 2 nm [46][39] and to regulate LSPR frequencies and refractive index [47][40]. Other 1D nanoparticles with bimetallic content of Au and Ag have also been characterised with UV-Vis absorption spectroscopy finding a linearly dependent plasmon resonance frequency, which could be tuned with the relative content of Au [26][29]. Pure Ag or Au nanoparticles presented an absorption peak at 415 nm or 538 nm, respectively, while in the varying range between Au:Ag = 1:3 and Au:Ag = 3:1, the absorption peak shifted from 430 nm to 515 nm, respectively. More recently, single or bi-metallic (Au/Ag) loading in BCPs micelles has been combined with their self-assembling tendency to produce a monolayer coverage on the surface of scanning probe microscopy (SPM) tips to support plasmonic enhancement and morphological characterisation in TERS-like configuration [48][41]. Gold nanoparticles in octahedral, decahedral and icosahedral shapes have also been obtained in a single-step synthesis through PS-b-P2VP loading with HAuCl4, where the processing temperature and polymer-metal ratio have been deemed essential in the shape and size dispersity of the nanoparticles batch [49][42]. Such nanoparticles have also been tested as SERS-active platforms for different thermal treatments where the increasing temperature implies a reduced polymer shell around the nanoparticle, which is compatible with higher enhancement activity. Other 1D materials with controllable optical properties have been reported by Glass and coworkers combining block copolymer micelle nanolithography (BCMN) with standard lithographic methods. The authors reported that Au nanodots can be used to epitaxially grow ZnO nanoposts, whose diameter might be tuned in such a way that they can be applied as sources of coherent light [50][43]. Two-dimensional composite structures have been obtained as multilayered nanostructures alternating a polymer lamella with one loaded with metal salts, assembled in parallel to the substrate [51][44].

5.2. Electronics

In the domains of micro and nanoelectronics, the high patterning tunability offered by BCP is making the nanostructures effectively applicable for their integration with existing semiconductor technologies, with large versatility under the user’s control. Once the sub-20 nm scale challenge has been overcome, the research is focused on the exploitation of the enhanced properties of the assembled nanostructures with respect to the isolated ones. Recently, Liu et al. [43][35] obtained Pt nanowires through MMDSA showing electrical continuity, thus raising interest in interconnects. Another, rather exotic, example of LPI of interest for electronic applications concerns the realisation of thin perovskite nanopattern already mentioned earlier [21][31]. The LPI in BCPs nanodomains allows the challenge of its downsizing to the sub-100 nm scale to be overcome, due to the ionic characteristics which make halide perovskites (HPs) highly unstable in ambient conditions. HPs appear to be effectively stabilised via their well-controlled crystallisation directly into BCP self-assembled templates. The possibility of nanopatterning thin perovskite films without harming their excellent photo-electronic properties opens up their suitability for a wide range of optoelectronics applications, including diodes, light-emitting nonvolatile memories, lasing, and metasurfaces. In more detail, Han and coworkers were able to successfully employ their hierarchically ordered MAPbBr3/PS-b-P2VP film as a photo-response layer in a two-terminal parallel-type photodetector, thanks to the enhanced photoconduction of the so-synthesised HPs. Indeed, the MAPbBr3/P2VP matrix efficiently served as a photocurrent pathway, although with anisotropic features due to the nanoimprinting directionality. It is worth noting that these devices showed both monotonically increasing photoresponsivity with increasing light intensity and notable photocurrent switching properties under an input ON/OFF illumination.

5.3. Other Applications

The interest in the use of self-assembled BCP for the nanopatterning of networked structures has been extended by the perspective of a wide range of applications, spanning catalysis to the fabrication of functional advanced materials and biocompatible substrates. Metallic structures, such as the platinum nanomeshes fabricated by Subramanian et al. [17][18], have been reported as promising active media for catalytic processes and fuel cell electrodes since the control of their morphology and dimension can respond to the need for tuning the electrocatalytic activity of nanostructured materials. Indeed, so-synthesised platinum nanowires could improve Pt performance in fuel cells, thus representing a crucial step forward in the design of efficient direct formic acid fuel cells (DFAFC), alongside similar results already achieved via other synthesis methods [54][45]. Some catalytic applications have also been envisioned in nanoporous ceramics, fabricated through hybrid methods involving metal infiltration from the liquid phase [55][46]. The formation of palladium centres directly embedded in a nanoporous alumina matrix resulted in PdO nanoparticles that were successfully tested as catalysts with high-temperature stability for both carbon monoxide oxidation and methane combustion reactions. Other diverse impressive results come from BCMN, where the ability of the soluble polymer block to crystallise and the micellisation of the copolymer in solution is deemed crucial to control the properties of the resulting networks [56][47]. BCMN through Au nanoparticles has been demonstrated to enable the low-temperature growth of Si 1D materials on borosilicate glass (BSG) and SiOx/Si substrates with fine control over the size and spacing of Si nanowires and nanotubes [57][48]. Glass and coworkers [30,50][43][49] have largely investigated the combination of BCMN and LPI with other conventional lithographic methods. Nanodot size and spacing are controlled through the amount of the metal loaded and the molecular weight, respectively, while the separation among groups of nanoparticles is regulated by photo or e-beam lithography pre-patterning steps. With this method, a few nanometre-sized Au nanoclusters have served to design uniformly structured interfaces onto solid supports. Glass and colleagues also reported the use of monomicellar films as negative resist for EBL, thus overcoming the inherent limitations with standard resist materials. The outstanding result achieved using metals and metal oxides as cluster materials allows the extension of this lithographic method to non-conductive supports such as common glass cover slips in addition to common conductive substrates [50][43]. The enormous mechanical stability demonstrated by these nanopatterns on the substrates makes them available for biological applications such as templates for immobilising single proteins and probing their interactions with living cells.

References

  1. Liu, C.C.; Franke, E.; Mignot, Y.; Xie, R.; Yeung, C.W.; Zhang, J.; Chi, C.; Zhang, C.; Farrell, R.; Lai, K.; et al. Directed self-assembly of block copolymers for 7 nanometre FinFET technology and beyond. Nat. Electron. 2018, 1, 562–569.
  2. Ferrarese Lupi, F.; Giammaria, T.J.; Seguini, G.; Vita, F.; Francescangeli, O.; Sparnacci, K.; Antonioli, D.; Gianotti, V.; Laus, M.; Perego, M. Fine tuning of lithographic masks through thin films of PS-b -PMMA with different molar mass by rapid thermal processing. ACS Appl. Mater. Interfaces 2014, 6, 7180–7188.
  3. Ferrarese Lupi, F.; Murataj, I.; Celegato, F.; Angelini, A.; Frascella, F.; Chiarcos, R.; Antonioli, D.; Gianotti, V.; Tiberto, P.; Pirri, C.F.; et al. Tailored and Guided Dewetting of Block Copolymer/Homopolymer Blends. Macromolecules 2020, 53, 7207–7217.
  4. Ferrarese Lupi, F.; Giammaria, T.J.; Miti, A.; Zuccheri, G.; Carignano, S.; Sparnacci, K.; Seguini, G.; De Leo, N.; Boarino, L.; Perego, M.; et al. Hierarchical Order in Dewetted Block Copolymer Thin Films on Chemically Patterned Surfaces. ACS Nano 2018, 12, 7076–7085.
  5. Frascaroli, J.; Brivio, S.; Ferrarese Lupi, F.; Seguini, G.; Boarino, L.; Perego, M.; Spiga, S. Resistive switching in high-density nanodevices fabricated by block copolymer self-Assembly. ACS Nano 2015, 9, 2518–2529.
  6. Murataj, I.; Channab, M.; Cara, E.; Pirri, C.F.; Boarino, L.; Angelini, A.; Ferrarese Lupi, F. Hyperbolic Metamaterials via Hierarchical Block Copolymer Nanostructures. Adv. Opt. Mater. 2021, 9, 2001933.
  7. Guo, C.; Lin, Y.H.; Witman, M.D.; Smith, K.A.; Wang, C.; Hexemer, A.; Strzalka, J.; Gomez, E.D.; Verduzco, R. Conjugated block copolymer photovoltaics with near 3% efficiency through microphase separation. Nano Lett. 2013, 13, 2957–2963.
  8. Malafronte, A.; Emendato, A.; Auriemma, F.; Sasso, C.; Laus, M.; Murataj, I.; Ferrarese Lupi, F.; De Rosa, C. Tailored inclusion of semiconductor nanoparticles in nanoporous polystyrene-block-polymethyl methacrylate thin films. Polymer 2020, 210, 122983.
  9. Yang, H.C.; Waldman, R.Z.; Chen, Z.; Darling, S.B. Atomic layer deposition for membrane interface engineering. Nanoscale 2018, 10, 20505–20513.
  10. Zhang, Z.; Simon, A.; Abetz, C.; Held, M.; Höhme, A.L.; Schneider, E.S.; Segal-Peretz, T.; Abetz, V. Hybrid Organic–Inorganic–Organic Isoporous Membranes with Tunable Pore Sizes and Functionalities for Molecular Separation. Adv. Mater. 2021, 33, 2105251.
  11. Cara, E.; Murataj, I.; Milano, G.; De Leo, N.; Boarino, L.; Ferrarese Lupi, F. Recent Advances in Sequential Infiltration Synthesis (SIS) of Block Copolymers (BCPs). Nanomaterials 2021, 11, 994.
  12. Waldman, R.Z.; Mandia, D.J.; Yanguas-Gil, A.; Martinson, A.B.F.; Elam, J.W.; Darling, S.B. The chemical physics of sequential infiltration synthesis—A thermodynamic and kinetic perspective. J. Chem. Phys. 2019, 151, 190901.
  13. Peng, Q.; Tseng, Y.C.; Darling, S.B.; Elam, J.W. A route to nanoscopic materials via sequential infiltration synthesis on block copolymer templates. ACS Nano 2011, 5, 4600–4606.
  14. Peng, Q.; Tseng, Y.C.; Long, Y.; Mane, A.U.; DiDona, S.; Darling, S.B.; Elam, J.W. Effect of Nanostructured Domains in Self-Assembled Block Copolymer Films on Sequential Infiltration Synthesis. Langmuir 2017, 33, 13214–13223.
  15. Chai, J.; Buriak, J.M. Using cylindrical domains of block copolymers to self-assemble and align metallic nanowires. ACS Nano 2008, 2, 489–501.
  16. Chai, J.; Wang, D.; Fan, X.; Buriak, J.M. Assembly of aligned linear metallic patterns on silicon. Nat. Nanotechnol. 2007, 2, 500–506.
  17. Yin, S.; Cao, W.; Ji, Q.; Cheng, Y.; Song, L.; Li, N.; Weindl, C.L.; Schwartzkopf, M.; Roth, S.V.; Müller-Buschbaum, P. Multidimensional Morphology Control for PS-b-P4VP Templated Mesoporous Iron (III) Oxide Thin Films. Adv. Mater. Interfaces 2021, 8, 2100141.
  18. Subramanian, A.; Tiwale, N.; Doerk, G.; Kisslinger, K.; Nam, C.Y. Enhanced Hybridisation and Nanopatterning via Heated Liquid-Phase Infiltration into Self-Assembled Block Copolymer Thin Films. ACS Appl. Mater. Interfaces 2020, 12, 1444–1453.
  19. Alvarez-Fernandez, A.; Aissou, K.; Pécastaings, G.; Hadziioannou, G.; Fleury, G.; Ponsinet, V. High refractive index in low metal content nanoplasmonic surfaces from self-assembled block copolymer thin films. Nanoscale Adv. 2019, 1, 849–857.
  20. Paiva, A.E.; Vasquez, J.F.B.; Perova, T.S.; Borsagli, F.G.L.M.; Morris, M. Mechanism of liquid-phase metal infiltration into pyridine-containing polymeric thin films. Mater. Lett. 2022, 313, 131682.
  21. Aizawa, M.; Buriak, J.M. Nanoscale patterning of two metals on silicon surfaces using an ABC triblock copolymer template. J. Am. Chem. Soc. 2006, 128, 5877–5886.
  22. Ghoshal, T.; Maity, T.; Godsell, J.F.; Roy, S.; Morris, M.A. Large scale monodisperse hexagonal arrays of superparamagnetic iron oxides nanodots: A facile block copolymer inclusion method. Adv. Mater. 2012, 24, 2390–2397.
  23. Ghoshal, T.; Shaw, M.T.; Bolger, C.T.; Holmes, J.D.; Morris, M.A. A general method for controlled nanopatterning of oxide dots: A microphase separated block copolymer platform. J. Mater. Chem. 2012, 22, 12083–12089.
  24. Lee, J.; Kwak, J.; Choi, C.; Han, S.H.; Kim, J.K. Phase behavior of poly (2-vinylpyridine)-block-poly (4-vinylpyridine) copolymers containing gold nanoparticles. Macromolecules 2017, 50, 9373–9379.
  25. Lee, J.; Mishra, A.K.; Choi, C.; Kim, D.; Kim, E.Y.; Yong, K.; Kim, J.K. Three-Dimensional Nanoporous Metal Structures from Poly(2-vinylpyridine)-block-Poly(4-vinylpyridine) Copolymer Thin Film. ACS Appl. Mater. Interfaces 2020, 12, 15667–15674.
  26. Lee, W.; Lee, S.; Tang, A.S.; Kim, C.; Liu, R.; Im, K.; Jung, H.T.; Ross, C.A. Platinum Infiltration of a Block Copolymer for Interconnected Three-Dimensional Metal Nanostructures. ACS Appl. Nano Mater. 2021, 4, 793–801.
  27. Mun, J.H.; Cha, S.K.; Kim, Y.C.; Yun, T.; Choi, Y.J.; Jin, H.M.; Lee, J.E.; Jeon, H.U.; Kim, S.Y.; Kim, S.O. Controlled Segmentation of Metal Nanowire Array by Block Copolymer Lithography and Reversible Ion Loading. Small 2017, 13, 1603939.
  28. Mun, J.H.; Chang, Y.H.; Shin, D.O.; Yoon, J.M.; Choi, D.S.; Lee, K.M.; Kim, J.Y.; Cha, S.K.; Lee, J.Y.; Jeong, J.R.; et al. Monodisperse pattern nanoalloying for synergistic intermetallic catalysis. Nano Lett. 2013, 13, 5720–5726.
  29. Menezes, W.G.; Zielasek, V.; Dzhardimalieva, G.I.; Pomogailo, S.I.; Thiel, K.; Wöhrle, D.; Hartwig, A.; Bäumer, M. Synthesis of stable AuAg bimetallic nanoparticles encapsulated by diblock copolymer micelles. Nanoscale 2012, 4, 1658–1664.
  30. Mistark, P.A.; Park, S.; Yalcin, S.E.; Lee, D.H.; Yavuzcetin, O.; Tuominen, M.T.; Russell, T.P.; Achermann, M. Block-copolymer-based plasmonic nanostructures. ACS Nano 2009, 3, 3987–3992.
  31. Han, H.; Oh, J.W.; Park, J.; Lee, H.; Park, C.; Lee, S.W.; Lee, K.; Jeon, S.; Kim, S.; Park, Y.; et al. Hierarchically Ordered Perovskites with High Photo-Electronic and Environmental Stability via Nanoimprinting Guided Block Copolymer Self-Assembly. Adv. Mater. Interfaces 2022, 9, 2200082.
  32. Majewski, P.W.; Rahman, A.; Black, C.T.; Yager, K.G. Arbitrary lattice symmetries via block copolymer nanomeshes. Nat. Commun. 2015, 6, 7448.
  33. Shin, D.O.; Lee, D.H.; Moon, H.S.; Jeong, S.J.; Kim, J.Y.; Mun, J.H.; Cho, H.; Park, S.; Kim, S.O. Sub-nanometer level size tuning of a monodisperse nanoparticle array via block copolymer lithography. Adv. Funct. Mater. 2011, 21, 250–254.
  34. Alvarez-Fernandez, A.; Nallet, F.; Fontaine, P.; Cummins, C.; Hadziioannou, G.; Barois, P.; Fleury, G.; Ponsinet, V. Large area Al2O3-Au raspberry-like nanoclusters from iterative block-copolymer self-assembly. RSC Adv. 2020, 10, 41088–41097.
  35. Liu, R.; Huang, H.; Sun, Z.; Alexander-Katz, A.; Ross, C.A. Metallic nanomeshes fabricated by multimechanism directed self-assembly. ACS Nano 2021, 15, 16266–16276.
  36. Kim, Y.C.; Kim, S.Y. Fabrication of gold nanowires in micropatterns using block copolymers. RSC Adv. 2018, 8, 19532–19538.
  37. Mun, J.H.; Cha, S.K.; Kim, H.; Moon, H.S.; Kim, J.Y.; Jin, H.M.; Choi, Y.J.; Baek, J.E.; Shin, J.; Kim, S.O. Nanodomain swelling block copolymer lithography for morphology tunable metal nanopatterning. Small 2014, 10, 3742–3749.
  38. Langer, J.; Jimenez de Aberasturi, D.; Aizpurua, J.; Alvarez-Puebla, R.A.; Auguié, B.; Baumberg, J.J.; Bazan, G.C.; Bell, S.E.; Boisen, A.; Brolo, A.G.; et al. Present and future of surface-enhanced Raman scattering. ACS Nano 2019, 14, 28–117.
  39. Hashimoto, T.; Harada, M.; Sakamoto, N. Incorporation of metal nanoparticles into block copolymer nanodomains via in-situ reduction of metal ions in microdomain space. Macromolecules 1999, 32, 6867–6870.
  40. Hsu, S.W.; Rodarte, A.L.; Som, M.; Arya, G.; Tao, A.R. Colloidal plasmonic nanocomposites: From fabrication to optical function. Chem. Rev. 2018, 118, 3100–3120.
  41. Zito, G.; Rusciano, G.; Vecchione, A.; Pesce, G.; Di Girolamo, R.; Malafronte, A.; Sasso, A. Nanometal skin of plasmonic heterostructures for highly efficient near-field scattering probes. Sci. Rep. 2016, 6, 31113.
  42. Zhang, J.; Gao, Y.; Alvarez-Puebla, R.A.; Buriak, J.M.; Fenniri, H. Synthesis and SERS properties of nanocrystalline gold octahedra generated from thermal decomposition of HAuCl4 in block copolymers. Adv. Mater. 2016, 18, 3233–3237.
  43. Glass, R.; Arnold, M.; Cavalcanti-Adam, E.A.; Blümmel, J.; Haferkemper, C.; Dodd, C.; Spatz, J.P. Block Copolymer Micelle Nanolithography on Non-Conductive Substrates. New J. Phys. 2004, 6, 101.
  44. Sohn, B.H.; Seo, B.H. Fabrication of the multilayered nanostructure of alternating polymers and gold nanoparticles with thin films of self-assembling diblock copolymers. Chem. Mater. 2001, 13, 1752–1757.
  45. El-Nagar, G.A.; Muench, F.; Roth, C. Tailored Dendritic Platinum Nanostructures as a Robust and Efficient Direct Formic Acid Fuel Cell Anode. New J. Chem. 2019, 43, 4100–4105.
  46. Berman, D.; Shevchenko, E. Design of Functional Composite and All-Inorganic Nanostructured Materials via Infiltration of Polymer Templates with Inorganic Precursors. J. Mater. Chem. C 2020, 8, 10604–10627.
  47. Yang, H.; Xu, L.; Li, X.; Zhang, X. One Simple Route to Fabricate Gold Nanowire Network Films by Using P2VP-b-PEO Diblock Copolymers as Templates. Mater. Chem. Phys. 2009, 114, 525–529.
  48. Mbenkum, B.N.; Schneider, A.S.; Schütz, G.; Xu, C.; Richter, G.; van Aken, P.A.; Majer, G.; Spatz, J.P. Low-Temperature Growth of Silicon Nanotubes and Nanowires on Amorphous Substrates. ACS Nano 2010, 4, 1805–1812.
  49. Glass, R.; Mller, M.; Spatz, J.P. Block Copolymer Micelle Nanolithography. Nanotechnology 2003, 14, 1153–1160.
More