Submitted Successfully!
To reward your contribution, here is a gift for you: A free trial for our video production service.
Thank you for your contribution! You can also upload a video entry or images related to this topic.
Version Summary Created by Modification Content Size Created at Operation
1 The basics of DSA of BCPs have been introduced, including chemoepitaxy and graphoepitaxy approaches, and applications. + 1087 word(s) 1087 2020-11-23 04:21:46 |
2 format correction -34 word(s) 1053 2021-06-16 10:45:04 |

Video Upload Options

Do you have a full video?

Confirm

Are you sure to Delete?
Cite
If you have any further questions, please contact Encyclopedia Editorial Office.
Fernández-Regúlez, M.; Pinto-Gómez, C.; Pérez-Murano, F. Directed Self-Assembly of Block Copolymers. Encyclopedia. Available online: https://encyclopedia.pub/entry/10899 (accessed on 28 March 2024).
Fernández-Regúlez M, Pinto-Gómez C, Pérez-Murano F. Directed Self-Assembly of Block Copolymers. Encyclopedia. Available at: https://encyclopedia.pub/entry/10899. Accessed March 28, 2024.
Fernández-Regúlez, Marta, Christian Pinto-Gómez, Francesc Pérez-Murano. "Directed Self-Assembly of Block Copolymers" Encyclopedia, https://encyclopedia.pub/entry/10899 (accessed March 28, 2024).
Fernández-Regúlez, M., Pinto-Gómez, C., & Pérez-Murano, F. (2021, June 16). Directed Self-Assembly of Block Copolymers. In Encyclopedia. https://encyclopedia.pub/entry/10899
Fernández-Regúlez, Marta, et al. "Directed Self-Assembly of Block Copolymers." Encyclopedia. Web. 16 June, 2021.
Directed Self-Assembly of Block Copolymers
Edit

Directed self-assembly (DSA) of block copolymers (BCPs) is a bottom-up approach to nanofabrication based on the ability of BCPs to phase separate spontaneously. It is an attractive technique for the fabrication of nanoscale structures thanks to its high resolution, low cost, ease of integration and scalability.

DSA block copolymers nanolithography directed self-assembly graphoepitaxy chemoepitaxy

1. Introduction

BCPs are macromolecules consisting of covalently bonded homogeneous blocks of chemically different monomers. Due to the dis-affinity between these blocks, BCPs segregate into microdomains after a thermally-driven phase separation process, generating self-assembled patterns within the nano/microscale [1][2].

Three parameters determine the period, morphology and phase behavior of BCPs when they self-assemble: the total number of monomers forming the BCP (degree of chain polymerization, N), the relative volume fraction of each block (f) and the Flory-Huggins interaction parameter (χ). This parameter gives an idea of how strong the repulsive force between the blocks is.

The most studied block copolymer is polystyrene-block-poly(methyl methacrylate), PS-b-PMMA, formed by a chain of PS and a chain of PMMA covalently bonded. However, its low value of χ limits minimum attainable resolution (to about 22 nm) [3][4]. Solutions to overcome this come from chain modification [5][6], the use of additives [7] or the pursuit of novel molecular architectures of high-χ BCPs, which combine polymers that are strongly immiscible [8][9].

2. Graphoepitaxy and Chemoepitaxy

In order to be useful for lithographic purposes, BCPs must be someway guided into the desired long-range order and morphology. To do so accurately, templates known as guiding patterns (GPs) are used to direct the self-assembly, whilst BCP properties (molecular weight and composition) and thermodynamics control the feature size, shape and uniformity of the resulting features. As the density of GPs is generally lower than the pitch of the self-assembled microdomains, BCPs are a valuable pattern multiplication method that is able to provide resolution enhancement to pre-patterned templates [10][11].

GPs are normally fabricated by top-down techniques, following two different approaches: chemoepitaxy and graphoepitaxy (Figure 1) [12][3][13]. Chemoepitaxy involves the creation of dense chemical patterns on a neutral substrate to generate preferential wetting sites for one of the blocks [14]. Multiple processes and techniques have been successfully used to selectively tune the surface free energy of a neutral surface, forcing some areas to present more affinity to one of the blocks, including photolithography [15], electron-beam lithography (EBL) and oxygen plasma functionalization [16][17][18][19][20], direct EBL exposure [21] and scanning-probe lithography [22][23][24].

Figure 1. Schematic of DSA by chemo- and graphoepitaxy. (a) In chemoepitaxy, areas of the substrate are chemically activated to show stronger affinity to one of the blocks, directing the self-assembly; (b) in graphoepitaxy, the substrate is topographically structured to direct the self-assembly.

Graphoepitaxy, on the other hand, is based on the definition of 3D features on the substrate, within DSA takes place [25][26][27][28][29]. These topographical templates can be physically tailored, and bottom and walls along the trenches chemically modified to impose different affinity to each of the polymer blocks, enforcing their orientation along the topography [30][31].

3. DSA of BCPs for Nanoelectronics

Line-space pitch multiplication and contact via level patterning are the two pivotal applications of DSA in high-volume manufacturing. In line-space applications lamellar BCPs have been used as mask for the definition of arrays of silicon fins that constitute the central body of non-planar fin field-effect transistors (FinFETs). Multiple works have demonstrated the capability of DSA to fabricate silicon fins [32][33][34], with probably the two most well-known being the LiNe [35][36] and IBM lift-off chemoepitaxial processes.

Regarding graphoepitaxial approaches, the most common strategy is to use topographical GPs in the shape of trenches, balancing the surface free energy between BCP domains and bottom of the trench, while un-grafted GP walls show stronger affinity to one of the blocks [37][38]. As consequence, when DSA is performed, domains are aligned perpendicularly to the bottom surface and parallel to the walls.

In contact via level patterning cylindrical or lamellar BCPs can be integrated directly into conventional CMOS lithography to generate contact-hole shrinking, contact multiplication or contact uniformity enhancement [39][40]. Firstly, GPs are pre-patterned, followed by dry etching for their structuring. Afterwards, the surface of the cavity that serves as GP is tuned to be attractive to PMMA. Then, the BCP is spin-coated filling the GP and self-assembly is carried out by thermal annealing. Finally, PMMA is etched away, and the remaining PS is used as mask for pattern transfer of shrunk uniform holes [41][42].

The fabrication of silicon vertical structures in the form of nano-sized pillars is another promising target of DSA processes in nanoelectronics. Pillar fabrication has been demonstrated by combination of DSA with tone-inversion [43][44], by sequential infiltration synthesis in BCPs [45][46], and directly by pattern transfer of the BCP template [47]. As we approach the most extreme semiconductor nodes in terms of scaling, alternative architectures and devices such as vertical gate-all-around field-effect transistors (GAA FETs) or single-electron transistors (SETs) are entering into discussion [48], which could be potentially fabricated by DSA.

4. DSA of BCPs for the Fabrication of Functional Structures

Besides DSA for logic, research efforts have also centered their attention on other emerging areas that were looking for large area nanostructuring techniques. Many of these fields are low demanding regarding BCP defectivity levels and, in many cases, self-assembly does not require to be directed, but rather take place on a free surface without guidance.

Applications include hard-disk drive and magnetic storage devices [49][50], nanophotonics and plasmonics materials [51][52], or chemical sensors [53]. Most often, BCPs are still used as templates for patterning, as in the case of graphene structuring [54][55] the fabrication of nanoporous membranes [56][57] or energy storage, photovoltaics and batteries [58][59]. In other applications, however, BCPs can present a more active role and can be used as stabilizing agent, for surface functionalization [60][61] or to aid in nanoparticle self-assembly [62].

A DSA process which enables the fabrication of ultra-thin silicon membranes suspended by high-density arrays of silicon nanowires (SiNWs) has also been demonstrated (Figure 2), with potential to be applied to fabricate nanoelectromechanical systems (NEMS). Each step of the fabrication process is compatible with standard CMOS technology and can be scalable to high-volume manufacturing.

Figure 2. Main steps of the process flow developed for the fabrication of suspended SiNWs and membranes. First, graphoepitaxy of PS-b-PMMA is performed in such a way that lamellae become perpendicular to walls and bottom of silicon oxide GPs; then, PMMA is selectively removed by dry etching; remaining PS and SiO2 are used as mask to define SiNWs and silicon membranes, respectively; finally, structures are released from the BOX in hydrofluoric acid.

References

  1. Bates, F.S.; Fredrickson, G.H. Block Copolymer Thermodynamics: Theory and Experiment. Annu. Rev. Phys. Chem. 1990, 41, 525–557.
  2. Hamley, I.W. Nanostructure fabrication using block copolymers. Nanotechnology 2003, 14, R39–R54.
  3. Jeong, S.-J.; Kim, J.Y.; Kim, B.H.; Moon, H.-S.; Kim, S.O. Directed self-assembly of block copolymers for next generation nanolithography. Mater. Today 2013, 16, 468–476.
  4. Wan, L.; Ruiz, R.; Gao, H.; Patel, K.C.; Albrecht, T.R.; Yin, J.; Kim, J.; Cao, Y.; Lin, G. The Limits of Lamellae-Forming PS-b-PMMA Block Copolymers for Lithography. ACS Nano 2015, 9, 7506–7514.
  5. Yoshida, K.; Tanaka, S.; Yamamoto, T.; Tajima, K.; Borsali, R.; Isono, T.; Satoh, T. Chain-End Functionalization with a Saccharide for 10 nm Microphase Separation: “Classical” PS-b-PMMA versus PS-b-PMMA-Saccharide. Macromolecules 2018, 51, 8870–8877.
  6. Yoshida, K.; Yamamoto, T.; Tajima, K.; Isono, T.; Satoh, T. Installing a functional group into the inactive ω-chain end of PMMA and PS-b-PMMA by terminal-selective transesterification. Polym. Chem. 2019, 10, 3390–3398.
  7. Sunday, D.F.; Chen, X.; Albrecht, T.R.; Nowak, D.; Delgadillo, P.R.; Dazai, T.; Miyagi, K.; Maehashi, T.; Yamazaki, A.; Nealey, P.F.; et al. Influence of Additives on the Interfacial Width and Line Edge Roughness in Block Copolymer Lithography. Chem. Mater. 2020, 32, 2399–2407.
  8. Tsai, H.; Miyazoe, H.; Vora, A.; Magbitang, T.; Arellano, N.; Liu, C.-C.; Maher, M.J.; Durand, W.J.; Dawes, S.J.; Bucchignano, J.J.; et al. High chi block copolymer DSA to improve pattern quality for FinFET device fabrication. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXIII, San Jose, CA, USA, 22–25 February 2016; Volume 9779, p. 977910.
  9. Lane, A.P.; Yang, X.; Maher, M.J.; Blachut, G.; Asano, Y.; Someya, Y.; Mallavarapu, A.; Sirard, S.M.; Ellison, C.J.; Willson, C.G. Directed Self-Assembly and Pattern Transfer of Five Nanometer Block Copolymer Lamellae. ACS Nano 2017, 11, 7656–7665.
  10. Tiron, R.; Chevalier, X.; Gaugiran, S.; Pradelles, J.; Fontaine, H.; Couderc, C.; Pain, L.; Navarro, C.; Chevolleau, T.; Cunge, G.; et al. Pattern density multiplication by direct self assembly of block copolymers: Toward 300 mm CMOS requirements. In Proceedings of the SPIE Alternative Lithographic Technologies IV, San Jose, CA, USA, 13–16 February 2012; Volume 8323, p. 83230O.
  11. Wang, S.; Deng, W.; Seow, Y.A.; Chen, B.; Lin, Q.Y. Study of Line-Space Pitch Multiplication Using Graphoepitaxy Directed Self-Assembly for Semiconductor Applications. J. Electron. Mater. 2017, 46, 4405–4413.
  12. Cheng, J.Y.; Rettner, C.T.; Sanders, D.P.; Kim, H.-C.; Hinsberg, W.D. Dense Self-Assembly on Sparse Chemical Patterns: Rectifying and Multiplying Lithographic Patterns Using Block Copolymers. Adv. Mater. 2008, 20, 3155–3158.
  13. Black, C.T.; Ruiz, R.; Breyta, G.; Cheng, J.Y.; Colburn, M.E.; Guarini, K.W.; Kim, H.-C.; Zhang, Y. Polymer self assembly in semiconductor microelectronics. IBM J. Res. Dev. 2007, 51, 605–633.
  14. Oria, L.; de Luzuriaga, A.R.; Chevalier, X.; Alduncin, J.A.; Mecerreyes, D.; Tiron, R.; Gaugiran, S.; Perez-Murano, F. Guided self-assembly of block-copolymer for CMOS technology: A comparative study between grapho-epitaxy and surface chemical modification. In Proceedings of the SPIE Alternative Lithographic Technologies III, San Jose, CA, USA, 1–3 March 2011; Volume 7970, p. 79700P.
  15. Kim, S.O.; Solak, H.H.; Stoykovich, M.P.; Ferrier, N.J.; de Pablo, J.J.; Nealey, P.F. Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates. Nature 2003, 424, 411–414.
  16. Cheng, J.Y.; Sanders, D.P.; Truong, H.D.; Harrer, S.; Friz, A.; Holmes, S.; Colburn, M.; Hinsberg, W.D. Simple and versatile methods to integrate directed self-assembly with optical lithography using a polarity-switched photoresist. ACS Nano 2010, 4, 4815–4823.
  17. Oria, L.; de Luzuriaga, A.R.; Alduncín, J.A.; Pérez-Murano, F. Block co-polymer guided self-assembly by surface chemical modification: Optimization of multiple patterning process and pattern transfer. In Proceedings of the SPIE Alternative Lithographic Technologies IV, San Jose, CA, USA, 13–16 February 2012; Volume 8323, p. 832327.
  18. Oria, L.; de Luzuriaga, A.R.; Alduncin, J.A.; Perez-Murano, F. Polystyrene as a brush layer for directed self-assembly of block co-polymers. Microelectron. Eng. 2013, 110, 234–240.
  19. Evangelio, L.; Gramazio, F.; Lorenzoni, M.; Gorgoi, M.; Espinosa, F.M.; García, R.; Pérez-Murano, F.; Fraxedas, J. Identifying the nature of surface chemical modification for directed self-assembly of block copolymers. Beilstein J. Nanotechnol. 2017, 8, 1972–1981.
  20. Navarro, C.; Nicolet, C.; Ariura, F.; Chevalier, X.; Xu, K.; Hockey, M.A.; Mumtaz, M.; Fleury, G.; Hadziioannou, G.; Legrain, A.; et al. Recent Achievements in Sub-10 nm DSA Lithography for Line/Space Patterning. J. Photopolym. Sci. Tec. 2017, 30, 69–75.
  21. Evangelio, L.; Fernández-Regúlez, M.; Fraxedas, J.; Müller, M.; Pérez-Murano, F. Role of Penetrability into a Brush-Coated Surface in Directed Self-Assembly of Block Copolymers. ACS Appl. Mater. Inter. 2018, 11, 3571–3581.
  22. Evangelio, L.; Fernández-Regúlez, M.; Borrisé, X.; Lorenzoni, M.; Fraxedas, J.; Pérez-Murano, F. Creation of guiding patterns for directed self-assembly of block copolymers by resistless direct e-beam exposure. J. Micro-Nanolith. MEM 2015, 14, 033511.
  23. Fernández-Regúlez, M.; Evangelio, L.; Lorenzoni, M.; Fraxedas, J.; Pérez-Murano, F. Sub-10 nm Resistless Nanolithography for Directed Self-Assembly of Block Copolymers. ACS Appl. Mater. Inter. 2014, 6, 21596–21602.
  24. Gottlieb, S.; Lorenzoni, M.; Evangelio, L.; Fernández-Regúlez, M.; Ryu, Y.K.; Rawlings, C.; Spieser, M.; Knoll, A.W.; Perez-Murano, F. Thermal scanning probe lithography for the directed self-assembly of block copolymers. Nanotechnology 2017, 28, 175301.
  25. Gottlieb, S.; Fernández-Regúlez, M.; Lorenzoni, M.; Evangelio, L.; Perez-Murano, F. Grain-Boundary-Induced Alignment of Block Copolymer Thin Films. Nanomaterials 2020, 10, 103.
  26. Segalman, R.A.; Yokoyama, H.; Kramer, E.J. Graphoepitaxy of Spherical Domain Block Copolymer Films. Adv. Mater. 2001, 13, 1152–1155.
  27. Black, C.T. Polymer Self-Assembly as a Novel Extension to Optical Lithography. ACS Nano 2007, 1, 147–150.
  28. Claveau, G.; Quemere, P.; Argoud, M.; Hazart, J.; Barros, P.P.; Sarrazin, A.; Posseme, N.; Tiron, R.; Chevalier, X.; Nicolet, C.; et al. Surface affinity role in graphoepitaxy of lamellar block copolymers. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXIII, San Jose, CA, USA, 22–25 February 2016; Volume 9779, p. 97791F.
  29. Borah, D.; Cummins, C.; Rasappa, S.; Senthamaraikannan, R.; Salaun, M.; Zelsmann, M.; Liontos, G.; Ntetsikas, K.; Avgeropoulos, A.; Morris, M.A. Nanopatterning via Self-Assembly of a Lamellar-Forming Polystyrene-block-Poly(dimethylsiloxane) Diblock Copolymer on Topographical Substrates Fabricated by Nanoimprint Lithography. Nanomaterials 2018, 8, 32.
  30. Gottlieb, S.; Rösner, B.; Evangelio, L.; Fernández-Regúlez, M.; Nogales, A.; García-Gutiérrez, M.C.; Keller, F.T.; Fraxedas, J.; Ezquerra, A.T.; David, C.; et al. Self-assembly morphology of block copolymers in sub-10 nm topographical guiding patterns. Mol. Syst. Des. Eng. 2019, 4, 175–185.
  31. Cheng, J.Y.; Zhang, F.; Chuang, V.P.; Mayes, A.M.; Ross, C.A. Self-Assembled One-Dimensional Nanostructure Arrays. Nano Lett. 2006, 6, 2099–2103.
  32. Tavakkoli, K.G.A.; Gotrik, K.W.; Hannon, A.F.; Alexander-Katz, A.; Ross, C.A.; Berggren, K.K. Templating Three-Dimensional Self-Assembled Structures in Bilayer Block Copolymer Films. Science 2012, 336, 1294–1298.
  33. Liu, C.-C.; Franke, E.; Mignot, Y.; Xie, R.; Yeung, C.W.; Zhang, J.; Chi, C.; Zhang, C.; Farrell, R.; Lai, K.; et al. Directed self-assembly of block copolymers for 7 nanometre FinFET technology and beyond. Nat. Electron. 2018, 1, 562–569.
  34. Jeong, S.-J.; Kim, J.E.; Moon, H.-S.; Kim, B.H.; Kim, S.M.; Kim, J.B.; Kim, S.O. Soft Graphoepitaxy of Block Copolymer Assembly with Disposable Photoresist Confinement. Nano Lett. 2009, 9, 2300–2305.
  35. Kim, J.; Wan, J.; Miyazaki, S.; Yin, J.; Cao, Y.; Her, Y.J.; Wu, H.; Shan, J.; Kurosawa, K.; Lin, G. The SMART Process for Directed Block Co-Polymer Self-Assembly. J. Photopolym. Sci. Tec. 2013, 26, 573–579.
  36. Liu, C.-C.; Han, E.; Onses, M.S.; Thode, C.J.; Ji, S.; Gopalan, P.; Nealey, P.F. Fabrication of Lithographically Defined Chemically Patterned Polymer Brushes and Mats. Macromolecules 2011, 44, 1876–1885.
  37. Liu, C.-C.; Ramírez-Hernández, A.; Han, E.; Craig, G.S.W.; Tada, Y.; Yoshida, H.; Kang, H.; Ji, S.; Gopalan, P.; de Pablo, J.J.; et al. Chemical Patterns for Directed Self-Assembly of Lamellae-Forming Block Copolymers with Density Multiplication of Features. Macromolecules 2013, 46, 1415–1424.
  38. Russell, T.P.; Coulon, G.; Deline, V.R.; Miller, D.C. Characteristics of the surface-induced orientation for symmetric diblock PS/PMMA copolymers. Macromolecules 1989, 22, 4600–4606.
  39. Park, S.-M.; Stoykovich, M.P.; Ruiz, R.; Zhang, Y.; Black, C.T.; Nealey, P.F. Directed Assembly of Lamellae- Forming Block Copolymers by Using Chemically and Topographically Patterned Substrates. Adv. Mater. 2007, 19, 607–611.
  40. Tiron, R.; Gharbi, A.; Argoud, M.; Chevalier, X.; Belledent, J.; Pimenta Barros, P.; Navarro, C.; Cunge, G.; Pain, L.; Asai, M.; et al. The potential of block copolymer’s directed self-assembly for contact hole shrink and contact multiplication. In Proceedings of the SPIE Alternative Lithographic Technologies V, San Jose, CA, USA, 25–28 February 2013; Volume 8680, p. 868012.
  41. Tiron, R.; Gharbi, A.; Barros, P.P.; Bouanani, S.; Lapeyre, C.; Bos, S.; Fouquet, A.; Hazart, J.; Chevalier, X.; Argoud, M.; et al. Template affinity role in CH shrink by DSA planarization. In Proceedings of the SPIE Alternative Lithographic Technologies VII, San Jose, CA, USA, 23–26 February 2015; Volume 9423, p. 942317.
  42. Servin, I.; Tiron, R.; Gharbi, A.; Argoud, M.; Jullian, K.; Chamiot-Maitral, G.; Barros, P.P.; Chevalier, X.; Belledent, J.; Bossy, X.; et al. Contact hole shrink by directed self-assembly: Process integration and stability monitored on 300 mm pilot line. Jpn. J. Appl. Phys. 2014, 53, 06JC05.
  43. Gharbi, A.; Tiron, R.; Argoud, M.; Chamiot-Maitral, G.; Fouquet, A.; Lapeyre, C.; Barros, P.P.; Delachat, F.; Bos, S.; Bouanani, S.; et al. Process highlights to enhance directed self-assembly contact patterning performances. J. Micro/Nanolith. MEMS MOEMS 2016, 15, 043503.
  44. Liu, C.-C.; Franke, E.; Mignot, Y.; LeFevre, S.; Sieg, S.; Chi, C.; Meli, L.; Parnell, D.; Schmidt, K.; Sanchez, M.; et al. DSA patterning options for logics and memory applications. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXIV, San Jose, CA, USA, 27 February–3 March 2017; Volume 10146, p. 1014603.
  45. Bruce, R.L.; Fraczak, G.; Papalia, J.M.; Tsai, H.; BrightSky, M.; Miyazoe, H.; Zhu, Y.; Engelmann, S.U.; Lung, H.-L.; Masuda, T.; et al. Directed self-assembly patterning strategies for phase change memory applications. In Proceedings of the SPIE Advanced Etch Technology for Nanopatterning VI, San Jose, CA, USA, 27 February–1 March 2017; Volume 10149, p. 101490J.
  46. Tseng, Y.-C.; Peng, Q.; Ocola, L.E.; Elam, J.W.; Darling, S.B. Enhanced Block Copolymer Lithography Using Sequential Infiltration Synthesis. J. Phys. Chem. C 2011, 115, 17725–17729.
  47. Elam, J.W.; Biswas, M.; Darling, S.B.; Yanguas-Gil, A.; Emery, J.D.; Martinson, A.B.F.; Nealey, P.F.; Segal-Peretz, T.; Peng, Q.; Winterstein, J.; et al. New Insights into Sequential Infiltration Synthesis. ECS Trans. 2015, 69, 147–157.
  48. Gharbi, A.; Pimenta-Barros, P.; Saouaf, O.; Reynaud, G.; Pain, L.; Tiron, R.; Navarro, C.; Nicolet, C.; Cayrefourcq, I.; Perego, M.; et al. Pillars fabrication by DSA lithography: Material and process options. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXV, San Jose, CA, USA, 26 February–1 March 2018; Volume 10586, p. 105860Q.
  49. Amat, E.; del Moral, A.; Fernández-Regúlez, M.; Evangelio, L.; Lorenzoni, M.; Gharbi, A.; Rademaker, G.; Pourteau, M.-L.; Tiron, R.; Bausells, J.; et al. Exploring Strategies to Contact 3D Nano-Pillars. Nanomaterials 2020, 10, 716.
  50. Ruiz, R.; Dobisz, E.; Albrecht, T.R. Rectangular Patterns Using Block Copolymer Directed Assembly for High Bit Aspect Ratio Patterned Media. ACS Nano 2011, 5, 79–84.
  51. Stefik, M.; Guldin, S.; Vignolini, S.; Wiesner, U.; Steiner, U. Block copolymer self-assembly for nanophotonics. Chem. Soc. Rev. 2015, 44, 5076–5091.
  52. Jin, H.M.; Kim, J.Y.; Heo, M.; Jeong, S.-J.; Kim, B.H.; Cha, S.K.; Han, K.H.; Kim, J.H.; Yang, G.G.; Shin, J.; et al. Ultralarge Area Sub-10 nm Plasmonic Nanogap Array by Block Copolymer Self-Assembly for Reliable High-Sensitivity SERS. ACS Appl. Mater. Inter. 2018, 10, 44660–44667.
  53. Rasappa, S.; Borah, D.; Faulkner, C.C.; Lutz, T.; Shaw, M.T.; Holmes, J.D.; Morris, M.A. Fabrication of a sub-10 nm silicon nanowire based ethanol sensor using block copolymer lithography. Nanotechnology 2013, 24, 065503.
  54. Kim, B.H.; Kim, J.Y.; Jeong, S.-J.; Hwang, J.O.; Lee, D.H.; Shin, D.O.; Choi, S.-Y.; Kim, S.O. Surface Energy Modification by Spin-Cast, Large-Area Graphene Film for Block Copolymer Lithography. ACS Nano 2010, 4, 5464–5470.
  55. Kim, J.Y.; Kim, B.H.; Hwang, J.O.; Jeong, S.-J.; Shin, D.O.; Mun, J.H.; Choi, Y.J.; Jin, H.M.; Kim, S.O. Flexible and Transferrable Self-Assembled Nanopatterning on Chemically Modified Graphene. Adv. Mater. 2013, 25, 1331–1335.
  56. Yang, S.Y.; Park, J.; Yoon, J.; Ree, M.; Jang, S.K.; Kim, J.K. Virus Filtration Membranes Prepared from Nanoporous Block Copolymers with Good Dimensional Stability under High Pressures and Excellent Solvent Resistance. Adv. Funct. Mater. 2008, 18, 1371–1377.
  57. Jackson, E.A.; Hillmyer, M.A. Nanoporous Membranes Derived from Block Copolymers: From Drug Delivery to Water Filtration. ACS Nano 2010, 4, 3548–3553.
  58. Shen, L.; He, C.; Qiu, J.; Lee, S.-M.; Kalita, A.; Cronin, S.B.; Stoykovich, M.P.; Yoon, J. Nanostructured Silicon Photocathodes for Solar Water Splitting Patterned by the Self-Assembly of Lamellar Block Copolymers. ACS Appl. Mater. Interfaces 2015, 7, 26043–26049.
  59. Adak, D.; Ghosh, S.; Chakraborty, P.; Srivatsa, K.M.K.; Mondal, A.; Saha, H.; Mukherjee, R.; Bhattacharyya, R. Non lithographic block copolymer directed self-assembled and plasma treated self-cleaning transparent coating for photovoltaic modules and other solar energy devices. Sol. Energy Mater. Sol. Cells 2018, 188, 127–139.
  60. Cummins, C.; Lundy, R.; Walsh, J.J.; Ponsinet, V.; Fleury, G.; Morris, M.A. Enabling future nanomanufacturing through block copolymer self-assembly: A review. Nano Today 2020, 35, 100936.
  61. Tritschler, U.; Pearce, S.; Gwyther, J.; Whittell, G.R.; Manners, I. 50th Anniversary Perspective: Functional Nanoparticles from the Solution Self-Assembly of Block Copolymers. Macromolecules 2017, 50, 3439–3463.
  62. Di Mauro, A.E.; Villone, V.; Ingrosso, C.; Corricelli, M.; Oria, L.; Pérez-Murano, F.; Agostiano, A.; Striccoli, M.; Curri, M.L. H-bonding driven assembly of colloidal Au nanoparticles on nanostructured poly(styrene-b-ethylene oxide) block copolymer templates. J. Mater. Sci. 2014, 49, 5246–5255.
More
Information
Subjects: Polymer Science
Contributors MDPI registered users' name will be linked to their SciProfiles pages. To register with us, please refer to https://encyclopedia.pub/register : , ,
View Times: 1.0K
Revisions: 2 times (View History)
Update Date: 16 Jun 2021
1000/1000