Diffusion and Dopant Activation in Germanium: History Edit

1. Introduction

For over a decade, Ge has been actively considered for many nanoelectronic and sensor applications, as it has a number of material property advantages over Si or alternative materials such as silicon–germanium (Si1–xGex) alloys. The main properties include its superior carrier mobilities, low-dopant activation temperatures, and smaller band-gap [1,2,3]. A main advantage of Ge-technology is its compatibility to existing Si processes and this may be an important factor as industrial inertia may delay the introduction of more exotic materials, unless, of course, they provide a clear breakthrough as compared to present technologies. The second determining factor for the consideration of Ge is the development of high-k gate dielectric materials. This, in turn, eliminates the need for a good-quality native oxide for the semiconducting material. The poor quality of germanium dioxide as compared to silicon dioxide in Si-technology plagued Ge-technology in the early days of the semiconductor industry [1].
Defects in semiconductors cannot be avoided in device processing (for example, implantation and diffusion). Essentially, understanding their properties is key to comprehend diffusion and can lead to devices with improved characteristics. For example, diffusion issues are important as the characteristic dimensions of devices are presently only a few nanometers. This necessitates the control of p- and n-type dopants to form efficient Ge-based p- and n-channel metal oxide semiconductor field effect transistors (MOSFETs) for advanced complementary metal-oxide semiconductors (CMOSs). There have been numerous studies on Ge over the past decade; however, research on Ge was limited for many decades with most being published over the last decade [4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25].
Vacancies (V) and self-interstitials (I) are the intrinsic point defects which act as vehicles mediating diffusion and as such understanding of their properties is critical to control the diffusion and/or electrical activation of dopants [26,27,28,29,30,31,32,33,34,35,36,37,38,39,40]. The dominance of V is established experimentally [28] and is important as it mediates the diffusion of most dopants in Ge [5,41,42]. Concerning donor atom diffusion, previous studies have established that n-type dopants such as P, As, and Sb diffuse in Ge via a vacancy mechanism at a rate that is faster than self-diffusion [41,42,43,44,45]. It should be considered that the relatively fast transport of n-type dopants is not appropriate for the formation of ultra-shallow donor profiles.
It is established that p-type dopants (for example Ga, In, B) diffuse at a lower rate as compared to n-type dopants [36]. The diffusion of the p-type dopants is similar to self-diffusion; however, in the case of B, it is significantly lower [46,47,48,49]. This slow diffusion of the p-type dopants is advantageous for the formation of ultra-shallow and well-defined acceptor doped regions in Ge.
The most common isovalent dopant in the Czochralski-grown group IV semiconductors is C [50,51,52]. Other dopants such as Sn or lead Pb have been used in defect engineering strategies to contain the fast diffusion of n-type dopants in Ge [20,36,53,54]. The interest in isovalent doping stems also from the interest to employ group IV binary (for example Si1–xGex, Sn1–xGex) and/or ternary (for example Si1–x–yGexSny) alloys in devices [55].
In Czochralski-grown semiconductors such as Ge or Si, there is a non-negligible concentration of oxygen [1,56,57,58,59,60]. Oxygen is mainly incorporated in the lattice via the growth process and its impact has been mainly investigated in Si and to a far lesser extend in Ge. Notably, most Ge crystals are pulled in graphite crucibles, leading to a lower oxygen content than in Czochralski-grown Si. At any rate, the introduction of oxygen is important as it can lead to further extended defects, which can degrade the performance of devices.
Metallic diffusion in semiconductors such as Ge is both scientifically and technologically important [30,61,62,63,64,65]. For example, Giese et al. [30] have studied the diffusion of nickel and copper in Ge to derive information regarding the vacancy-mediated Ge self-diffusion coefficient and the concentration of vacancies with respect to temperature. From a technological viewpoint metals such as copper, nickel, and palladium are used as crystallization inducers in the metal-induced lateral crystallization (MILC) method which is an efficient way to produce large grain crystals [64].
The methodological advances in the past years and their wide spread (for example, density functional theory (DFT) and time of flight secondary ion mass spectrometry (ToF-SIMS)) have enabled the better understanding of materials at an atomistic level [66,67,68,69,70,71,72,73,74,75,76,77,78,79,80]. In particular, these methods can resolve the energetics of atomic diffusion, provide evidence of the diffusion mechanism, the formation of clusters, and other electronic and mechanical properties. Therefore, they are useful tools to devise defect engineering strategies.
The present review is mainly focused on the diffusion of technologically important dopants and impurities. The review considers self-diffusion, the diffusion of n-type dopants, p-type dopants, and metallic diffusions. The latter is mainly concerned with recent results on Pd diffusion, which recently calculated a very low migration energy barrier of Pd interstitial (Pdi) diffusion.

2. Self-Diffusion

The studies by Werner et al. [28] determined that V is the prevalent intrinsic point defect mediating self-diffusion in Ge under conditions of equilibrium. Werner et al. [28] determined that there are no I under thermal equilibrium conditions. The dominance of V is strengthened by recent DFT calculations that exhibit a lower formation energy for V as compared to I. Bracht et al. [81] proposed proton irradiation to generate a supersaturation of Ge self-interstitials, and thus, to better control the concentration of vacancies. This is an important method as vacancies mediate the fast diffusion of n-type dopants in Ge, and therefore, need to be controlled [36,70,82]. Conversely, the generation of self-interstitials can enhance the boron diffusion in Ge via an interstitial mechanism that requires the formation of boron-self-interstitial pairs [83].

3. n-Type Dopants

Interestingly, although Ge shares the same crystal structure to Si, its defect properties are distinctively different. A key issue in Ge is the excessive n-type dopant diffusion. This in turn poses a technological difficulty to form well-defined n-type doped regions for devices. It has also been established that donor atoms such as arsenic can form clusters with vacancies (AsnV defect clusters, refer to Figure 1) [4]. The community aims to confront these issues by considering defect engineering strategies that can address both the fast diffusion and the clustering of the donor atoms. It should be noted that, in the past, a common defect engineering strategy was to introduce co-dopants in the material [84,85,86,87,88]. The purpose is that the co-dopants will act competitively, attracting native point defects (such as vacancies) and in that respect, will allow the annihilation and/or control of the dopants and their clusters. Experimental and theoretical investigations used isovalent C or Sn to co-dope n-type doped Ge (refer to Reference [36] and references therein). It was determined that isovalent co-doping will impact the diffusion of the donor atoms; however, it did not affect the deactivation of the donor profile [41,44]. In essence the picture is that the isovalent atoms associate with the migrating vacancies and (or donor–vacancy pairs) effectively immobilizing a proportion of them. These in turn, are not available to associate with the donor atoms and facilitate their migration, hence, less donor atoms will migrate, and this leads to a decline in their diffusivity [44]. The association, however, of the isovalent atoms with the donor–vacancy pairs results in defect clusters which still lead to the deactivation of the donor atoms [44]. Therefore, although isovalent co-doping solves the fast diffusion of the donor profile issue, it does not impact the deactivation issues [36,41,44].
 
Applsci 09 02454 g001
Figure 1. A schematic of the arsenic-vacancy (AsnV) defect clusters in Ge. Black circles represent the As atoms, white circles the Ge atoms, and cubes the vacancies [4].
 
o address both these issues concurrently, double-donor doping and doping with aliovalent dopants was considered [2,89]. Double-donor doping is the process where a second n-type dopant is introduced in the lattice aiming to impact the electronic properties and defect-dopant processes [89,90,91,92,93,94]. Tsouroutas et al. [90] investigated the diffusion and activation of P and as co-doped Ge using conventional thermal annealing (600–750 °C). It was determined using Secondary Ion Mass Spectrometry (SIMS ) that As diffusion was retarded, whereas P diffusion remained unaffected or even somewhat enhanced [90]. Additionally, double-donor doping was not beneficial concerning the level of activation [90]. Stathopoulos et al. [92] considered P and N co-doping but with millisecond non-melt laser annealing. It was determined that co-doping with N reduces the diffusion of P but with a lower activation level [92]. Therefore, the conclusions for double-donor doping are similar to those for isovalent doping: fast diffusion is reduced but there is no benefit concerning the activation of the donor atoms. At any rate it should be considered that in other classes of materials (for example oxides), these defect engineering strategies are effective [95,96]. In these systems the key is the relaxation manipulation offered by the two co-dopants, whereas here electronic effects are also important.
To the best of our knowledge the primary study that considered the impact of F on donor dopants in Ge was the DFT study by Chroneos et al. [97] nearly a decade ago. The promising DFT results motivated a series of experimental studies to determine the impact of F on the electrical activation of P and As in Ge [2,98,99,100,101,102,103,104]. The introduction of F in Ge was inspired by analogous experimental and theoretical work of F in Si, where it was employed to control the transient diffusion of B [105,106,107]. The host lattices are different; however, the key feature of the highly electronegative F in Si and Ge is that it can passivate the dangling bonds formed by vacancies. In essence, as the F atoms saturate the dangling bonds (formed by the vacancies) they concurrently solve the low activation and high diffusion of donor atom problems in Ge [97]. This is because the donor atom diffusion is vacancy mediated, whereas they are also necessary for the formation of the large donor atom–vacancy clusters that deactivate the donor profile. In the DFT study by Chroneos et al. [97], it was calculated that F captures vacancies to form FnVm clusters, in effect depriving these vacancies from the donor atoms. Although this early study employed relatively crude mass action analysis arguments, it inspired experimental work to investigate the interaction of F with defects in Ge. Interestingly, Sanson et al. [104] used X-ray absorption near edge structure (XANES) spectroscopy to study the local structure of ion-implanted F-doped Ge and determined that FnVm, and in particular F6V2 clusters, form in agreement with the DFT results [97]. Considering the diffusion of donor atoms in the presence of F, Impellizzeri et al. [2] determined that F retards As diffusion in Ge (refer to Figure 2). Jung et al. [100] determined that F implantation passivates vacancies at around 500 °C, and this is linked to the enhancement in Ge-MOSFET performance.
 
Applsci 09 02454 g002
Figure 2. The determined arsenic concentration profiles with respect to depth for arsenic-doped (blue line 3 × 1013 As/cm2 with 50 keV implantation energy) and fluorine + arsenic-co-doped (red line As: 3 × 1013As/cm2 with 50 keV implantation energy; F: 1 × 1015 F/cm2 with 35 keV implantation energy) germanium [38].
 
It should be stressed that the search for efficient defect engineering strategies in the case of n-type doped Ge is a good example of the compatibility and complementary information that can be achieved when utilizing experiment and DFT calculations.